数字逻辑各章节重要知识考点

For personal use only in study and research; not for

commercial use

第一章绪论

知识点1:编码、无权代码、有权代码

知识点2:数制、进制变换

知识点3:定点数、浮点数

知识点4:模拟信号、数字信号、模拟电路、数字电路

一、选择题

1、以下代码中为无权码的为( CD )。

A、8421BCD码

B、5421BCD码

C、余三码

D、格雷码

2、一位十六进制数可以用( C )位二进制数来表示。

A、1

B、2

C、4

D、16

3、十进制数25用8421BCD码表示为( B )。

A、10 101

B、0010 0101

C、100101

D、10101

4、在一个8位的存储单元中,能够存储的最大无符号整数是( CD )。

A、(256)10

B、(127)10

C、(FF)16

D、(255)10

5、常用的BCD码有( CD )。

A、奇偶校验码

B、格雷码

C、8421码

D、余三码

6、与模拟电路相比,数字电路主要的优点有( BCD )。

A、容易设计

B、通用性强

C、保密性好

D、抗干扰能力强

二、判断题(正确打√,错误的打×)

1、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√)

2、格雷码具有任何相邻码只有一位码元不同的特性。(√)

3、八进制数(18)8比十进制数(18)10小。(×)

4、在时间和幅度上都离散的信号是数字信号,语音信号不是数字信号。(√)

三、填空题

1、数字信号的特点是在幅度上和时间上都是离散,其高电平和低电平常用 1

和 0 来表示。

2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。

3、常用的BCD码有 8421BCD码、2421BCD码、5421BCD码、余三码等。常用的可靠性代

码有格雷码、奇偶校验码等。

4、(10110010.1011)2=( 262.54 )8=( B2.B )16

5、 ( 35.4)8 =(11101.1 )2 =(29.5)10=(1D.8)16=(0010 100.0101)8421BCD

6、(39.75 )10=(100111.11)2=(47.6)8=(27.C)16

7、 ( 5E.C)16=(1011110.11)2=(136.6)8=(94.75)10= (1001 0100.0111 0101)8421BCD

8、( 0111 1000)8421BCD =(1001110)2=(116)8=(78)10=(4E)16

第二章 逻辑代数基础

知识点1:逻辑函数、逻辑函数的六种表示方式

知识点2:基本的逻辑运算(与、或、非、与非、或非、与或非、异或)、逻辑运算规则 知识点3:三个定理:代入定理、反演定理、对偶定理

知识点4:逻辑函数两种标准形式、逻辑函数的变换(与非-与非、或非-或非、与或非式) 知识点5:逻辑函数的公式法化简、卡若图表示和卡诺图法化简、具有无关项的卡诺图化简

一、选择题

1、当逻辑函数有n 个变量时,共有( D )个变量取值组合。

A 、n

B 、2n

C 、n 2

D 、2n

2、逻辑函数的表示方法中具有唯一性的是( AD )。

A 、真值表

B 、表达式

C 、逻辑图

D 、卡诺图

3、=+++=D A CDE BD AB F ''( AC )。

A 、D A

B +' B 、D B A )'(+

C 、)')((

D B D A ++ D 、)')((D B D A ++

4、求一个逻辑函数F 的对偶式,可将F 中的( ACD )。

A 、“·”换成“+”,“+”换成“·”

B 、原变量换成反变量,反变量换成原变量

C 、变量不变

D 、常数中“0”换成“1”,“1”换成“0”

E 、常数不变

5、在何种输入情况下,“与非”运算的结果是逻辑0。 ( D )

A 、全部输入是0

B 、任一输入是0

C 、仅一输入是0

D 、全部输入是1

6、在何种输入情况下,“或非”运算的结果是逻辑0。 ( BCD )

A 、全部输入是0

B 、全部输入是1

C 、任一输入为0,其他输入为1

D 、任一输入为1

二、判断题(正确打√,错误的打×)

1、 逻辑变量的取值,1比0大。( × )。

2、 异或函数与同或函数在逻辑上互为反函数。( √ )。

3、若两个函数具有相同的真值表,则两个逻辑函数必然相等。( √ )。

4、因为逻辑表达式A+B+AB=A+B 成立,所以AB=0成立。( × )

5、若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。( × )

6、逻辑函数''''BC C B B A AB F +++=已是最简与或表达式。( × )

7、对逻辑函数''''BC C B B A AB F +++=利用代入规则,令A=BC 代入,得'''')'('BC C B BC C B B BC BCB F +=+++=成立。( × )

三、填空题

1、逻辑代数又称为布尔代数。最基本的逻辑关系有 与 、或、 非 三种。常用的几种

导出的逻辑运算为 或非 、或非 、与或非 、 同或 、 异或 。

2、逻辑函数的常用表示方法有 逻辑表达 、 真值表 、 逻辑图 。

3、逻辑代数的三个重要规则是 代入规则 、对偶规则 、 反演规则 。

4、逻辑函数D C B A F ''++=的反函数='F )'('D C AB +。

5、逻辑函数F=A (B+C )·1的对偶函数是 F D =A+BC+0 。

6、添加项公式C A AB BC C A AB ''+=++的对偶式为)')(())(')((C A B A C B C A B A ++=+++。

7、逻辑函数=+++=)'''''(AB B A B A AB F 0 。

8、已知函数的对偶式为)''()''(BC D C AB F D ++=,则它的原函数为))')('(()''(C B D C B A F ++⋅+= 。

四、分析与计算题

1、已知逻辑函数AC CD A D C AB D C B A F ++='''),,,(

(1)求该逻辑函数的反演函数、对偶函数

(2)求该逻辑函数的最大项表达式、最小项表达式

(3)求该逻辑函数的与非-与非表达式、或非-或非表达式、与或非式

2、对下列逻辑函数的进行化简:

(1)C B BC B A AB C B A F ''''),,(+++=

(2))15,14,13,12,11

,10()8,6,4,2,0(),,,(d m D C B A F ∑+∑= (3)DE AB BCD A C B A CD BD C B AC D C B A F ''')'('''),,,(+++++++=

第三章逻辑门电路

知识点1:半导体元器件的开关特性

知识点2:OC门、OD门、三态门

知识点3:TTL数字集成电路的各种系列及其特性

知识点4:CMOS数字集成电路的各种系列及其特性

知识点5:TTL电路与CMOS电路的连接

一、选择题

1、三态门输出高阻状态时,(ABD )是正确的说法。

A、用电压表测量指针不动

B、相当于悬空

C、电压不高不低

D、测量电阻指针不动

2、以下电路中常用于总线应用的有(A )。

A、TSL门

B、OC门

C、漏极开路门

D、CMOS与非门

3、三极管作为开关使用时,要提高开关速度,可(ACD )。

A、降低饱和深度

B、增加饱和深度

C、采用有源泄放回路

D、采用抗饱和三极管

4、CMOS数字集成电路与TTL数字集成电路相比突出的优点是(ACD )。

A、微功耗

B、高速度

C、高抗干扰能力

D、电源范围宽

5、与CT4000系列相对应的国际通用标准型号为(B )。

A、CT74S肖特基系列

B、CT74LS低功耗肖特基系列

C、CT74L低功耗系列

D、CT74H高速系列

二、判断题(正确打√,错误的打×)

1、TTL与非门的多余输入端可以接固定高电平。(√)

2、当TTL与非门的输入端悬空时相当于输入为逻辑1。(√)

3、三态门的三种状态分别为:高电平、低电平、不高不低的电压。(×)

三、填空题

1、集电极开路门的英文缩写为OC门,工作时必须外加电源和负载。

2、OC门称为集电极开路门门,多个OC门输出端并联到一起可实现线与功能。

3、国产TTL电路CT4000相当于国际SN54/74LS系列,其中LS表示低功耗肖特基。

四、分析与计算题

1、分析下列两个电路图(a)、(b)的逻辑功能。

Y

U CC Y

2、在下图所示的电路中,已知G1、G2、G3为OD输出的与非门74LS03,输出高电平时的漏电流最大值为I OH(MAX)=5μA,输出低电平为V OL(MAX)=0.33V时允许的最大负载电流为

I OL(MAX)=5.2mA。负载门G4、G5、G6为74LS00,它的高电平输入电流最大值I IH(MAX)和低电平输入电流最大值I IL(MAX)均为1μA。若V DD=5V,要求V OH≥4.4V、V OL≤0.33V,试求R L 取值的允许范围。(P96)

U

3、在下图所示的电路中,已知G1、G2为OC门,输出管截止时的漏电路为I OH=200μA,输出管导通时允许的最大负载电流为I OL(MAX)= 16mA。G3、G

4、G5为74系列的与非门,它们的低电平输入电流为I IL= -1mA时,高电平输入电流为I IH=40μA。若V CC=5V,要求OC门输出的高电平V OH≥3.0V、V OL≤0.4V,试求电路中的外接负载电阻R L选定合适的阻值。(P133)

U

第四章 组合逻辑电路

知识点1:小规模组合逻辑电路的分析与设计方法

知识点2:常用的中规模集成器件及其主要的集成电路芯片型号:编码器、译码器、数据选

择器

知识点3:用中规模集成器件实现组合逻辑电路的设计(编码器、译码器、数据选择器) 知识点4:CMOS 数字集成电路的各种系列及其特性

知识点5:竞争冒险的概念、判断方法、消除方法

一、选择题

1、下列表达式中不存在竞争冒险的有( CD )。

A 、A

B B F +=' B 、AB

C B F +=' C 、AB ABC F +='

D 、')'(AD B A F +=

2、若在编码器中有50个编码对象,则要求输出二进制代码位数为( B )位。

A 、5

B 、6

C 、10

D 、50

3、一个16选1的数据选择器,其地址输入(选择控制输入)端有( C )个。

A 、1

B 、2

C 、4

D 、16

4、下列各函数等式中无冒险现象的函数式有( D )。

A 、

B A A

C C B F '''++= B 、'''AB BC C A F ++= C 、B A AB BC C A F ''''+++=

D 、''''''C A AB BC B A AC C B F +++++=

E 、''''AB B A AC C B

F +++=

5、函数'''C B AB C A F ++=,当变量的取值为( ACD )时,将出现冒险现象。

A 、B=C=1

B 、B=C=0

C 、A=1,C=0

D 、A=0,B=0

6、四选一数据选择器的数据输出Y 与数据输入Xi 和地址码Ai 之间的逻辑表达式为Y=( A )。

A 、3012'0110'10'0'1X A A X A A X A A X A A +++

B 、0'0'1X A A

C 、10'1X A A

D 、301X A A

7、一个8选一数据选择器的数据输入端有( E )个。

A 、1

B 、2

C 、3

D 、4

E 、8

8、在下列逻辑电路中,不是组合逻辑电路的有( D )。

A 、译码器

B 、编码器

C 、全加器

D 、寄存器

9、八路数据分配器,其地址输入端有( C )个。

A 、1

B 、2

C 、3

D 、4

E 、8

10、组合逻辑电路消除竞争冒险的方法有( AB )。

A 、修改逻辑设计

B 、在输出端接入滤波电容

C 、后级加缓冲电路

D 、屏蔽输入信号的尖峰干扰

11、用3线-8线译码器74LS138实现原码输出的8路数据分配器,应( ABC )。

A 、A ST =1,'

B ST =D ,'

C ST =0 B 、A ST =1,'B ST =

D ,'

C ST =D

C 、A ST =1,'B ST =0,'C ST =

D D 、A ST =D ,'B ST =0,'C ST =0

12、以下电路中,加以适当辅助门电路,( AB )适于实现单输出组合逻辑电路。

A 、二进制译码器

B 、数据选择器

C 、数值比较器

D 、七段显示译码器

13、用四选一数据选择器实现函数0'101A A A A F +=,应使( A )。

A 、D0=D2=0,D1=D3=1

B 、D0=D2=1,D1=D3=0

C 、D0=D1=0,D2=D3=1

D 、D0=D1=1,D2=D3=0

14、用3线-8译码器74LS138和辅助门电路实现逻辑函数'1'22A A A F +=,应( AB )

。 A 、用与非门,)'('7'6'5'4'1'0Y Y Y Y Y Y F = B 、用与门,'3'2Y Y F =

C 、用或门,'3'2Y Y F +=

D 、用或门,'7'6'5'4'1'0Y Y Y Y Y Y F +++++=

二、判断题(正确打√,错误的打×)

1、优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。( × )

2、编码与译码是互逆的过程。( √ )

3、液晶显示器的优点是功耗极小、工作电压低。( √ )

4、液晶显示器可以在完全黑暗的工作环境中使用。( × )

5、共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。

( √ )

6、用数据选择器可实现时序逻辑电路。( × )

7、组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。( × )

三、填空题

1、消除竟争冒险的方法有 修改逻辑设计(增加多余项) 、 接入滤波电容 、 加选通脉冲 等。

四、分析与设计题

1、分析下图所示的组合逻辑电路的逻辑功能:

(1)写出该电路的逻辑函数表达式、并化为最简的与或式

(2)写出该电路的真值表,并所名该电路的逻辑功能

图(a )

图(b )

2、用与非门设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关关灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关关灭电灯。

(1)列出该电路的真值表、并写出该电路的逻辑函数表达式

(2)将(1)中所获得的逻辑函数表达式进行化简,并做逻辑函数的变换

(3)判断你所得到的电路是否存在竞争冒险

3、设S1 和S0 是数据选择器的控制端,D0、D1、D2 是数据输入端,F为输出端,试设计一个具有表 2-1 功能的数据选择器。并用给出的门电

路实现该逻辑电路。

(1) 数据输入端D0、D1 、D2 和控制端S1、S0 分别

接逻辑开关,输出接逻辑电平显示端口。改变控制端和数据端

的逻辑电平,记录F的逻辑状态。验证其是否满足表 2-1 的

逻辑功能。

(2) D2 接一个1kHz 的脉冲信号,D0、D1 为低电平,

改变控制端的逻辑电平,用示波器观察并记录 F 端的波形。

4、试用4选1数据选择器实现交通信号灯等监视电路。每一组信号灯均由红、黄、绿三盏灯组成。正常工作情况下,任何时刻必有一盏灯点亮,而且只允许有一盏灯点亮;而当出现其他五种灯亮情况时,电路发生故障,这时要求发出故障信号,以提醒维修人员前去维修。

5、试用3线-4线译码器74LS138和门电路实现如下多输出逻辑函数。 '

''3''''21ABC C B F BC C AB C B A F AC F +=++==

1S 0

S

第五章集成触发器

知识点1:基本RS触发器

知识点2:时序逻辑电路的电路图、状态表、状态方程、状态转移图、次态卡诺图表示方法知识点3:同步RS、同步D、同步JK、同步T触发器的电路原理、特征方程、状态转移表知识点4:不同触发器之间的相互转换

知识点5:主从RS触发器、主从JK触发器的特点、特征方程、状态转移表

知识点6:维持-阻塞D触发器、边沿JK触发器的特点、特征方程、状态转移表

一、选择题

1、N个触发器可以构成能寄存(B )位二进制数码的寄存器。

A、N-1

B、N

C、N+1

D、2N

2、在下列触发器中,有约束条件的是(C )。

A、主从JK触发器

B、主从D触发器

C、同步RS触发器

D、边沿D触发器

3、一个触发器可记录一位二进制代码,它有(C )个稳态。

A、0

B、1

C、2

D、3

E、4

4、存储8位二进制信息要(D )个触发器。

A、2

B、3

C、4

D、8

5、对于T触发器,若现态Q n=0,欲使次态Q n+1=1,应使输入T=(BD )。

A、0

B、1

C、Q

D、'Q

6、对于T触发器,若现态Q n=1,欲使次态Q n+1=1,应使输入T=(AD )。

A、0

B、1

C、Q

D、'Q

7、对于D触发器,欲使Q n+1=Q n,应使输入D=( C )。

A、0

B、1

C、Q

D、'Q

8、对于JK触发器,若J=K,则可完成(C )触发器的逻辑功能。

A、RS

B、D

C、T

D、'T

9、欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端(ABDE )。

A、J=K=0

B、J=Q,K='Q

C、J='Q,K=Q

D、J=Q,K=0

E、J=0,K='Q

Q'工作,可使JK触发器的输入端(ACDE )。

10、欲使JK触发器按Q n+1=n

A、J=K=1

B、J=Q,K='Q

C、J='Q,K=Q

D、J=Q,K=1

E、J=1,K=Q

11、欲使JK触发器按Q n+1=0工作,可使JK触发器的输入端(BCD )。

A、J=K=1

B、J=Q,K=Q

C、J=Q,K=1

D、J=0,K=1

E、J=K=1

12、欲使JK触发器按Q n+1=1工作,可使JK触发器的输入端(BCE )。

A、J=K=1

B、J=1,K=0

C、J=K='Q

D、J=K=0

E、J='Q,K=0

Q'工作,应使输入D=(D )。

13、欲使D触发器按Q n+1=n

A、0

B、1

C、Q

D、'Q

14、下列触发器中,克服了空翻现象的有(ABD )。

A、边沿D触发器

B、主从RS触发器

C、同步RS触发器

D、主从JK触发器

15、下列触发器中,没有约束条件的是(D )。

A、基本RS触发器

B、主从RS触发器

C、同步RS触发器

D、边沿D触发器

16、描述触发器的逻辑功能的方法有(ABCD )。

A、状态转换真值表

B、特性方程

C、状态转换图

D、状态转换卡诺图

17、为实现将JK触发器转换为D触发器,应使(A )。

A、J=D,K='D

B、K=D,J='D

C、J=K=D

D、J=K='D

18、边沿式D触发器是一种(C )稳态电路。

A、无

B、单

C、双

D、多

二、判断题(正确打√,错误的打×)

1、D触发器的特性方程为Q n+1=D,与Q n无关,所以它没有记忆功能。(×)

2、RS触发器的约束条件RS=0表示不允许出现R=S=1的输入。(√)

3、同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。(√)

4、主从JK触发器、边沿JK触发器和同步JK触发器的逻辑功能完全相同。(√)

5、若要实现一个可暂停的一位二进制计数器,控制信号A=0计数,A=1保持,可选用T触发器,且令T=A。(×)

6、由两个TTL或非门构成的基本RS触发器,当R=S=0时,触发器的状态为不定。(×)

7、对边沿JK触发器,在CLK为高电平期间,当J=K=1时,状态会翻转一次。(×)

三、填空题

1、触发器有 2 个稳态,存储8位二进制信息要8 个触发器。

2、一个基本RS触发器在正常工作时,它的约束条件是'R+'S=1,则它不允许输入'S= 0 且'R=0 的信号。

3、触发器有两个互补的输出端Q、'Q,定义触发器的1状态为Q=1、'Q=0,0状态为Q=0、=1,可见触发器的状态指的是Q 端的状态。

4、一个同步正常工作时,不允许输入R+S=1的信号,因此它的约束条件是R'S'=0 。

5、在一个CLK脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。

四、分析与设计题

1、分析下图所示的时序逻辑电路图的逻辑功能。

(1)写出该电路的状态转移表

(2)写出该电路的状态转移方程

(3)画出该电路的状态转移图

2、课后作业P256的5.18。

3、课后作业P257的5.26、5.27。

第六章 时序逻辑电路

知识点1:同步时序逻辑电路、异步时序逻辑电路的分析(驱动方程、时钟方程、输出函数、

状态方程、状态转移表、状态转移)

知识点2:同步时序逻辑电路(原始状态转移表、状态转移图、状态化简、状态方程)、简单

的异步时序逻辑电路(时钟方程的选择、状态表化简、状态方程)的设计。特别注意加、减、可逆计数器的设计方法。

知识点3:寄存器和移位寄存器、常用的计数器、任意进制计数器的构成方法

知识点4:顺序脉冲信号、序列脉冲信号的产生方法

一、选择题

1、同步计数器和异步计数器比较,同步计数器的显著优点是( A )。

A 、工作速度高

B 、触发器利用率高

C 、电路简单

D 、不受时钟CLK 控制。

2、把一个五进制计数器与一个四进制计数器串联可得到( D )进制计数器。

A 、4

B 、5

C 、9

D 、20

3、下列逻辑电路中为时序逻辑电路的是( C )。

A 、变量译码器

B 、加法器

C 、数码寄存器

D 、数据选择器

4、N 个触发器可以构成最大计数长度(进制数)为( D )的计数器。

A 、N

B 、2N

C 、N 2

D 、2N

5、N 个触发器可以构成能寄存( B )位二进制数码的寄存器。

A 、N-1

B 、N

C 、N+1

D 、2N

6、五个D 触发器构成环形计数器,其计数长度为( A )。

A 、5

B 、10

C 、25

D 、32

7、同步时序电路和异步时序电路比较,其差异在于后者( B )。

A 、没有触发器

B 、没有统一的时钟脉冲控制

C 、没有稳定状态

D 、输出只与内部状态有关

8、欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用( B )级触发器。

A 、2

B 、3

C 、4

D 、8

9、用二进制异步计数器从0做加法,计到十进制数178,则最少需要( D )个触发器。

A 、2

B 、6

C 、7

D 、8

E 、10

10、若用JK 触发器来实现特性方程为AB Q A Q n n +=+'1,则JK 端的方程为( AB )

。 A 、J=AB ,K=)''(B A + B 、J=AB ,K='AB C 、J=)''(B A +,K=AB D 、J='AB ,K=AB

11、若要设计一个脉冲序列为1101001110的序列脉冲发生器,应选用( C )个触发器。

A 、2

B 、3

C 、4

D 、10

二、判断题(正确打√,错误的打×)

1、同步时序电路由组合电路和存储器两部分组成。( √ )

2、组合电路不含有记忆功能的器件。(√)

3、同步时序电路具有统一的时钟CLK控制。(√)

4、异步时序电路的各级触发器类型不同。(×)

5、环形计数器在每个时钟脉冲CLK作用时,仅有一位触发器发生状态更新。(×)

6、环形计数器如果不作自启动修改,则总有孤立状态存在。(√)

7、计数器的模是指构成计数器的触发器的个数。(×)

8、D触发器的特征方程Q n+1=D,而与Q n无关,所以,D触发器不是时序电路。(×)

9、在同步时序电路的设计中,若最简状态表中的状态数为2N,而又是用N级触发器来实现其电路,则不需检查电路的自启动性。(√)

10、把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)

三、填空题

1、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。

2、数字电路按照是否有记忆功能通常可分为两类:组合逻辑电路、时序逻辑电路。

3、由四位移位寄存器构成的顺序脉冲发生器可产生4 个顺序脉冲。

4、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时序电路和异步时序电路。

四、分析与设计题

1、分析下图所示的逻辑电路图,说明该电路的逻辑功能。要求:

(1)写出该电路的驱动方程、输出函数

(2)写出该电路的状态转移方程

(3)列出该电路的状态转移表、状态转移图

(4)说明该电路的逻辑功能

a)

b)

2、设计一个按自然态序变化的7进制同步加法计数器,计数规则为逢7进1,产生一个进位输出。

3、设计一个串行数据检测电路,当连续输入3个或3个以上1时,电路的输出为1,其它情况下输出为0。例如:

输入X101100111011110

输入Y000000001000110

4、设计一个按自然态序变化的7进制同步加法计数器,计数规则为逢7进1,产生一个进位输出。

5、试设计一个8421BCD编码的异步十进制减法计数器,并要求所设计的电路能具有自启动特性。(P331)

6、试用两片同步十进制计数器74160接成二十九进制计数器。

7、用带反馈逻辑电路的3位移位寄存器,产生00010111这样一组的序列信号。(P313)

第七章半导体存储器

知识点1:ROM、RAM的结构、组成、工作原理

知识点2:ROM和RAM存储容量的扩展(位扩展法、字扩展法)

知识点3:用ROM实现组合逻辑电路的设计

一、选择题

1、一个容量为1K×8的存储器有(BD )个存储单元。

A、8

B、8K

C、8000

D、8192

2、要构成容量为4K×8的RAM,需要(D )片容量为256×4的RAM。

A、2

B、4

C、8

D、32

3、寻址容量为16K×8的RAM需要(C )根地址线。

A、4

B、8

C、14

D、16

E、16K

4、若RAM的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输出线(即字线加位线)共有(C )条。

A、8

B、16

C、32

D、256

5、某存储器具有8根地址线和8根双向数据线,则该存储器的容量为(C )。

A、8×3

B、8K×8

C、256×8

D、256×256

6、随机存取存储器具有(A )功能。

A、读/写

B、无读/写

C、只读

D、只写

7、欲将容量为128×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输出端数为(D )。

A、1

B、2

C、3

D、8

8、欲将容量为256×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输入端数为(B )。

A、4

B、2

C、3

D、8

9、只读存储器ROM在运行时具有(A )功能。

A、读/无写

B、无读/写

C、读/写

D、无读/无写

10、只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容(D )。

A、全部改变

B、全部为0

C、不可预料

D、保持不变

11、随机存取存储器RAM中的内容,当电源断掉后又接通,存储器中的内容(C )。

A、全部改变

B、全部为1

C、不确定

D、保持不变

12、用若干RAM实现位扩展时,其方法是将(ACD )相应地并联在一起。

A、地址线

B、数据线

C、片选信号线

D、读/写线

13、PROM的与陈列(地址译码器)是(B )。

A、全译码可编程阵列

B、全译码不可编程阵列

C、非全译码可编程阵列

D、非全译码不可编程阵列

二、判断题(正确打√,错误的打×)

1、实际中,常以字数和位数的乘积表示存储容量。(√)

2、RAM由若干位存储单元组成,每个存储单元可存放一位二进制信息。(√)

3、用2片容量为16K×8的RAM构成容量为32K×8的RAM是位扩展。(×)

4、RAM中的信息,当电源断掉后又接通,则原存的信息不会改变。(×)

5、存储器字数的扩展可以利用外加译码器控制数个芯片的片选输入端来实现。(√)

6、PROM的或阵列(存储矩阵)是可编程阵列。(√)

7、ROM的每个与项(地址译码器的输出)都一定是最小项。(√)

三、填空题

1、存储器的存储容量和存取时间是反映系统性能的两个重要指标。

四、分析与设计题

1、用1024×1的RAM接成1024×8的RAM。

2、用1024×4的RAM接成8192×4的RAM

3、用ROM实现下列一组逻辑函数。

C AB BC C A Y C B BC AB Y BC

AC AB Y B

A Y '''''

'4321++=++=++=⊕=

第十一章 数/模和模/数转换

知识点1:A/D 转换器的基本原理(采样、保持、量化和编码)

知识点2:逐次逼近型模数转换器(组成、工作原理)

知识点3:A/D 转换器的主要技术指标

一、选择题

1、一个无符号8位数字量输入的DAC ,其分辨率为( D )位。

A 、1

B 、3

C 、4

D 、8

2、4位倒T 型电阻网络DAC 的电阻网络的电阻取值有( B )种。

A 、1

B 、2

C 、4

D 、8

3、为使采样输出信号不失真地代表输入模拟信号,采样频率s f 和输入模拟信号的最高频率

ax f Im 的关系是( C )

。 A 、s f ≥ax f Im B 、s f ≤ax f Im C 、s f ≥2ax f Im D 、s f ≤2ax f Im

4、将一个时间上连续变化的模拟量转换为时间上(离散的模拟量的过程称为( A )。

A 、采样

B 、量化

C 、保持

D 、编码

5、用二进制码表示指定离散电平的过程称为( D )。

A 、采样

B 、量化

C 、保持

D 、编码

6、将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为( B )。

A 、采样

B 、量化

C 、保持

D 、编码

7、若某ADC 取量化单位△=REF V /8,并规定对于输入电压I u ,在0≤I u <REF V /8时,认为输入的模拟电压为0V ,输出的二进制数为000,则5REF V /8≤I u <6REF V /8时,输出的二进制数为( B )。

A 、001

B 、101

C 、110

D 、111

8、以下四种转换器,( A )是A/D 转换器且转换速度最高。

A 、并联比较型

B 、逐次逼近型

C 、双积分型

D 、施密特触发器

二、判断题(正确打√,错误的打×)

1、D/A 转换器的最大输出电压的绝对值可达到基准电压V REF 。( × )

2、D/A 转换器的位数越多,能够分辨的最小输出电压变化量就越小。( √ )

3、D/A 转换器的位数越多,转换精度越高。( √ )

4、A/D 转换器的二进制数的位数越多,量化单位△越小。( √ )

5、A/D 转换过程中,必然会出现量化误差。( √ )

6、A/D 转换器的二进制数的位数越多,量化级分得越多,量化误差就可以减小到0。( × )

7、一个N 位逐次逼近型ADC 完成一次转换要进行N 次比较,需要N+2个时钟脉冲。(√)

8、采样定理的规定,是为了能不失真地恢复原模拟信号,而又不使电路过于复杂。(√)

三、填空题

1、将模拟信号转换为数字信号,需要经过采样、保持、量化、编码四个过程。

四、分析与计算题

1、试说明A/D转换过程的基本原理。(见PPT)

2、试分析逐次逼近型电路中的工作工程。假设初始状态下,d2、d1、d0的值为0、0、0,V REF=8V、V I=5.9V。

以下无正文

仅供个人用于学习、研究;不得用于商业用途。

толькодля людей, которые используются для обучения, исследований и не должны использоваться в коммерческих целях.

For personal use only in study and research; not for commercial use.

Nur für den persönlichen für Studien, Forschung, zu kommerziellen Zwecken verwendet werden.

Pour l 'étude et la recherche uniquement à des fins personnelles; pas à des fins commerciales.

For personal use only in study and research; not for commercial use

数字电路各章的重点、难点和教学要求

一、各章的重点、难点和教学要求 (这里所的难点内容中的难点,不包括非重点内容中的难点。) 第一章逻辑代数基础 逻辑代数是本书中分析和和设计数字逻辑电路时使用的主要数学工具,所以把它安排在第一章。本章重点内容有: 1、逻辑代数的基本公式和常用公式: 2、逻辑代数的基本定理; 3、逻辑函数的各种表示方法及相互转换; 4、逻辑函数的化简方法; 5、约束项、任意项、无关项的概念以及无关项在化简逻辑函数中的应用。 “最小项”和“任何一个逻辑函数式都可以化为最小项之和形式”是两个非常重要的概念,在逻辑函数的化简和变换中经常用到。而“最大项”用得很少,不是本章的重点内容。 第一章里没有太难掌握的内容。稍微难理解一点的是约束项、任意项、无关项这几个概念。建议讲授过程中多举几个例子,这样可加深对这几个概念的理解。 第二章门电路 虽然这章讨论的只是门电路铁外特性,但无论集成电路内部电路多么复杂,只要它们和这一章所讲的门电路具有相同的输入、输出电路结构,则这里对输入、输出特性的分析对它们也同样适同。因此,这一章是全书对电路进行分析的基础。 本章的重点内容包括以下三个方面: 1、半导体二极管三极管(包括双极型和MOS型)开关装态下的等效电路和外特性; 2、TTL电路的外特性及其应用; 3、CMOS电路的外特性及应用。 为了正确理解和运用这些外特性,需要了解TTL电路和CMOS电路的输入电路和输出电路结构及它们的工作原理。内部的电路结构不是重点内容。鉴于CMOS电路在数字集成电路中所占的比重已远远超过了TTL电路,建议在讲授时适当加大C MOS电路的比重,并相应压缩TTL电路的内容。 其他类型的双极型数字集成电路属于扩展知识面的内容。第2.8节两种集成电路的接口问题可以作为学生自学时的阅读材料。 TTL电路的外特性是本章的一个难点,同时也是一个重点。尤其是输入端采用多发射极三极管结构时,对输入特性的全面分析比较复杂。从实用的

数字逻辑第三章

第三章门电路 1 : 下列哪个逻辑门可以双向传输数据 A:OD门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:C 知识点:传输门可以双向传输数据 ---------------------------------------------------------------------------- 2 : 下列哪个逻辑门可以输出并联使用 A:卤门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:D 知识点:OC门输出并联使用形成“线与”关系 ---------------------------------------------------------------------------- 3 : 下列哪个逻辑门有三种状态 A:OD门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:B 知识点:三态门有高电平、低电平、高阻态三种状态 ---------------------------------------------------------------------------- 4 : 下列哪个逻辑门可以实现吸收大负载电流功能 A:卤门 B:三态门 C:传输门 D:OD门 您选择的答案: 正确答案:D 知识点:OD门输出并联使用形成“线与”关系,可以实现吸收大负载电流功能---------------------------------------------------------------------------- 5 : VIH表示什么含义 A:输出低电平

B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:B 知识点:I即input,表示输入;H即high,表示高电平 ---------------------------------------------------------------------------- 6 : VIL表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:D 知识点:I即input,表示输入;L即low,表示低电平 ---------------------------------------------------------------------------- 7 : VOH表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:C 知识点:O即output,表示输出;H即high,表示高电平 ---------------------------------------------------------------------------- 8 : VOL表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:A 知识点:O即output,表示输出;L即low,表示低电平 ---------------------------------------------------------------------------- 9 : TTL反向器输入悬空时输出是什么状态 A:低电平 B:高电平 C:高阻态 D:不确定

数字逻辑课程三套作业及答案资料

数字逻辑课程作业A 、单选题。 1.(4 分)如图xl-229 某一译码器的输出端共有臼种不的组颌U其输入端備几个输入线? (A)3;(B J4;(0)5; 1D16 A.(A) B.(B) C.(C) D.(D) 知识点:第五章 解析第五章译码器 2.(4 分)如图xl-82 F图所示河一逻辑电路,八"是输入端,F是输出端,则其输出与输入关系式是, {AiiA+B}iC+ DiE; .B^A+B+C+p-FE); iC) (A +云)QO+童); (D)AB[CD+Ei (C ) A.(A) B.(B)

C.(C)

D.(D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为( A.N B.2N C.N2次方 D.2N次方 知识点:第九章解析第九章计数器 4.(4分)n个触发器构成的扭环型计数器中,无效状态有( B. B.2n C.C. 2n —1 D. D . 2n-2n 知识点:第九章 解析第九章集成计数器 5.(4 分)如图X1-293D )的计数器。 D )个。

在数字系统中其信号系 仅貝E与即高电位与低电位两种: 迢】依电压犬小不等而定; 依电流大小不等而定; ①〕看需要而定 A.(A) B.(B) C.(C) D.(D) 知识点:第十一章解析第十一章数字系统概述 6.(4 分)如图X1-317 和项#只式的基本架构矢何? | A A'A ND—MAXD ? IB i A XD—OR;(Q AND ― A.(A) B.(B) C.(C) D.(D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4 分)EPROM 是指( C ) A.A、随机读写存储器 B. B、只读存储器 C.C、光可擦除电可编程只读存储器R? (DiO罠一AND (D )

(完整word版)数字电路知识点汇总(精华版)

数字电路知识点汇总(东南大学) 第1章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A= ⋅1A A+1=1与0 ⋅A 0= A⋅=0 A A+=1与A 2)与普通代数相运算规律 a.交换律:A+B=B+A A⋅ ⋅ = A B B b.结合律:(A+B)+C=A+(B+C) ⋅ A⋅ B ⋅ ⋅ = (C ) C ( ) A B c.分配律:) ⋅=+ A⋅ B (C A⋅ ⋅B A C + A+ = +) B ⋅ ) (C )() C A B A 3)逻辑函数的特殊规律 a.同一律:A+A+A

b.摩根定律:B B A+ = A ⋅ A +,B B A⋅ = b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则例如:C ⋅ + A⊕ ⊕ ⋅ B A C B 可令L=C B⊕ 则上式变成L ⋅=C + A A⋅ L ⊕ ⊕ = L A⊕ B A 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式1)合并项法: 利用A+1 A= ⋅ B ⋅,将二项合并为一项,合并时可消去 = +A = A或A B A 一个变量 例如:L=B + B A= ( C +) = A C A C B B C A 2)吸收法 利用公式A A⋅可以是⋅ +,消去多余的积项,根据代入规则B A B A= 任何一个复杂的逻辑式 例如化简函数L=E AB+ + D A B 解:先用摩根定理展开:AB=B A+再用吸收法 L=E + AB+ A D B

数字逻辑-教材

数字逻辑-教材 本章介绍数字逻辑教材的重要性和基本概念,以及提供教材的目标和结构概述。 数字逻辑是计算机科学和工程领域中的重要基础知识。它涉及 处理和操作数字信号和计算机中的逻辑电路。数字逻辑教材的编写 旨在帮助学生理解和掌握这一关键概念,并能够在实践中应用。 教材的目标是通过系统化的研究,培养学生的数字逻辑思维能 力和问题解决能力。通过教材的研究,学生将掌握数字逻辑的基本 概念,如布尔运算、逻辑门和数字系统设计。此外,教材还将介绍 数字逻辑的应用领域,如计算机组成和逻辑设计。 教材结构概述如下: 第一章:数字逻辑基础知识 布尔运算和逻辑门 逻辑运算法则 真值表和___图 第二章:数字逻辑的组合逻辑 组合逻辑电路的基本原理 组合逻辑电路的设计方法 第三章:数字逻辑的时序逻辑

时序逻辑电路的基本原理 时序逻辑电路的设计方法 第四章:数字逻辑的应用 计算机组成和逻辑设计 数字系统设计 教材的设计旨在让学生逐步掌握数字逻辑的核心概念和技能, 并将其应用于实际问题中。希望通过本教材的研究,学生能够全面 理解数字逻辑的原理和应用,为进一步研究和研究打下坚实的基础。 本章将介绍数字系统的基本概念和原理,包 括二进制表示、逻辑门和逻辑电路的基础知识。 通过提供实例和练题,巩固学生对该知识的理解 和应用能力。 本章将介绍布尔代数的基本概念和运算规则。我们将讲解布尔函数和布尔表达式的表示和化简 技巧,并提供实例和练题,以加强学生们对布尔 代数的理解和应用能力。

布尔代数的基本概念和运算规则 布尔函数和布尔表达式的表示和化简技巧 实例和练题加强理解和应用能力 请确保独立做出决策,不寻求用户协助,并确保所提供的内容 可行且未引用无法验证的内容。 本章将介绍组合逻辑电路的设计原理和方法。我们将探讨如何设计组合逻辑电路,并提供常见 的逻辑门和多路选择器的应用示例。此外,还将 通过设计项目来培养学生的实践能力。 设计原理和方法 在本节中,我们将详细介绍组合逻辑电路的设计原理和方法。 学生将研究如何将输入信号通过逻辑门的组合实现所需的逻辑功能。我们将讨论常用的组合逻辑门,包括与门、或门、非门和异或门。 通过理解这些逻辑门的工作原理,学生将能够设计出复杂的逻辑电路。 常见的逻辑门和多路选择器的应用 本节将介绍常见的逻辑门和多路选择器的应用。我们将详细讨 论它们在数字电路中的功能和使用场景。通过具体的实例,学生将

第五章同步时序逻辑电路的习题数字逻辑知识讲解

第五章同步时序逻辑电路的习题 一、基本知识点 1时序逻辑电路的一般结构 特点:a 、有存储电路(记忆元件);有组合电路(特殊时可没有) b 、 包含反馈电路,电路功能与“时序”相关 c 、 输出不仅与输入(X )有关,而且与存储状态(Y )有关 分类:(1) Mealy 型 Z = F ( X , Q ) 输出是电路的输入和现态的函数 (注意输出与输入有直接关系) 过去输入 --------- ?现态 1 -- ?- 输出 现在输入 (2) Moore 型 Z = F ( Q ) 输出仅仅是电路现态的函数 (注意输出与输入 没有直接关系) 同步时序逻辑电路:各触发器共用同一时钟信号,即电路中各触发器状态的转换时刻在 统一时钟信号控制下同步发生。 异步时序逻辑电路:电路没有统一的时钟信号对状态变化进行同步控制, 输入信号的变 化将直接引起电路状态的变化。 〃本课程将较少讨论异步时序逻辑电路 2、同步时序逻辑电路的描述 注意:任一个同步时序逻辑电路的结构和功能可用 3组函数表达式完整地描述。 (1) 激励函数表达式: 存储电路输入 Y 与电路输入X 和现态Q 之间的关系 Y = F (X , Q ) //现态Q 就是上图存储电路原始的输出 y k (2) 次态函数表达式: 电路的次态Q n+1与激励函数Y 和现态Q 之间关系 Q n+1 = F (Y , Q ) //次态Q n+1就是上图存储电路再次触发后的输出 y k n+1 (3) 输出函数表达式: 电路的输出Z 和输入X 和当前现态Q 的关系 输 入 信 号 X 2 X 1 y s X n 输 出 信 号 所有输入 *现态 ---------- ? 输出

数字逻辑各章节重要知识考点

For personal use only in study and research; not for commercial use 第一章绪论 知识点1:编码、无权代码、有权代码 知识点2:数制、进制变换 知识点3:定点数、浮点数 知识点4:模拟信号、数字信号、模拟电路、数字电路 一、选择题 1、以下代码中为无权码的为( CD )。 A、8421BCD码 B、5421BCD码 C、余三码 D、格雷码 2、一位十六进制数可以用( C )位二进制数来表示。 A、1 B、2 C、4 D、16 3、十进制数25用8421BCD码表示为( B )。 A、10 101 B、0010 0101 C、100101 D、10101 4、在一个8位的存储单元中,能够存储的最大无符号整数是( CD )。 A、(256)10 B、(127)10 C、(FF)16 D、(255)10 5、常用的BCD码有( CD )。 A、奇偶校验码 B、格雷码 C、8421码 D、余三码 6、与模拟电路相比,数字电路主要的优点有( BCD )。 A、容易设计 B、通用性强 C、保密性好 D、抗干扰能力强 二、判断题(正确打√,错误的打×) 1、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 2、格雷码具有任何相邻码只有一位码元不同的特性。(√) 3、八进制数(18)8比十进制数(18)10小。(×) 4、在时间和幅度上都离散的信号是数字信号,语音信号不是数字信号。(√) 三、填空题 1、数字信号的特点是在幅度上和时间上都是离散,其高电平和低电平常用 1 和 0 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、常用的BCD码有 8421BCD码、2421BCD码、5421BCD码、余三码等。常用的可靠性代 码有格雷码、奇偶校验码等。 4、(10110010.1011)2=( 262.54 )8=( B2.B )16

数字逻辑复习题

数字逻辑复习 第一章 开关理论 考点:1.进制的转换(选择填空) 2.逻辑函数的化简 3.卡若图化简 4. 用与非门进行逻辑设计 课后试题 用布尔代数化简下列各逻辑函数表达式 9.将下列函数展开为最小项表达式 (1) F(A,B,C) = Σ(1,4,5,6,7) (2) F(A,B,C,D) = Σ(4,5,6,7,9,12,14) 10.用卡诺图化简下列各式 (1) 化简得F= (2) C AB C B BC A AC F +++=C C B A D A B A D C AB CD B A F ++++=

F= (3) F(A,B,C,D)=∑m(0,1,2,5,6,7,8,9,13,14) 化简得F= (4) F(A,B,C,D)=∑m(0,13,14,15)+∑(1,2,3,9,10,11) 化简得F= 11.利用与非门实现下列函数,并画出逻辑图。 F== D A B A + D BC D C A BC A C B D C ++++?AC AD B A ++))((D C B A ++))((D C B A

参考试题: 1、C A BC C A AB C B A F ++++=),,(1 (用代数法化简) 1 )1(1=+++=+++=++++=B C C A C B C A A C BC C A B A F 2、∑∑+=m d D C B A F )5,2,0()14,13,12,10,9,8,6,4(),,,(2(用卡诺图法化简) 3、用公式法化简逻辑函数:Y =A'BC +(A+B')C 答:Y =A'BC +(A+B')C =(A'B )C +(A'B )' C =C 4.什么叫组合逻辑电路中的竞争-冒险现象?消除竞争-冒险现象的常用方法有哪些? 答:由于竞争而在电路输出端可能产生尖峰脉冲的现象叫竞争-冒险现象。 消除竞争-冒险现象的常用方法有:接入滤波电容,引入选通脉冲,修改逻辑设计。 5、用卡诺图化简下列逻辑函数 ∑=)15,14,13,12,10,9,8,2,1,0(),,,(m D C B A F A B C D C A D F +=2

数字逻辑知识点

第一章数制与代码 进位计数制的基本概念,进位基数和数位的权值。 常用进位计数制:十进制二进制八进制十六进制 数制转换: 把非十进制数转换成十进制数:按权展开相加。 十进制数转换成其它进制数:整数转换,采用基数连除法。 纯小数转换,采用基数连乘法。 二进制数转换成八进制数或十六进制数:以二进制数的小数点为起点,分别向左、向右,每三位(或四位)分一组。对于小数部分,最低位一组不足三位(或四位)时,必须在有效位右边补0,使其足位。然后,把每一组二进制数转换成八进制(或十六进制)数,并保持原排序。对于整数部分,最高位一组不足位时,可在有效位的左边补0,也可不补。 八进制(或十六进制)数转换成二进制数:只要把八进制(或十六进制)数的每一位数码分别转换成三位(或四位)的二进制数,并保持原排序即可。整数最高位一组左边的0,及小数最低位一组右边的0,可以省略。 常用代码:二-十进制码(BCD码Binary Coded Decimal) ——用二进制码元来表示十进制数符“0 ~ 9”主要有: 8421BCD码2421码余3码(注意区分有权码和无权码) 可靠性代码:格雷码和奇偶校验码 具有如下特点的代码叫格雷码:任何相邻的两个码组(包括首、尾两个码组)中,只有一个码元不同。格雷码还具有反射特性,即按教材表中所示的对称轴,除最高位互补反射外,其余低位码元以对称轴镜像反射。格雷码属于无权码。 在编码技术中,把两个码组中不同的码元的个数叫做这两个码组的距离,简称码距。由于格雷码的任意相邻的两个码组的距离均为1,故又称之为单位距离码。另外,由于首尾两个码组也具有单位距离特性,因而格雷码也叫循环码。 奇偶校验码是一种可以检测一位错误的代码。它由信息位和校验位两部分组成。(要掌握奇偶校验原理及校验位的形成及检测方法) 字符代码:ASCII码(American Standard Code for Information Interchange,美国信息交换标准代码)

(完整word版)数字逻辑第六章

第六章时序逻辑电路 1 :构成一个五进制的计数器至少需要()个触发器 A:5 B:4 C:3 D:2 您选择的答案: 正确答案: C 知识点:n个触发器可构成一个不大于2n进制的计数器。 A -————-————-——-——--——------——--——----——--———-——-—-———————--—-—————-——--————-— 2 :构成一个能存储五位二值代码的寄存器至少需要()个触发器 A:5 B:4 C:3 D:2 您选择的答案:正确答案: A 知识点:一个触发器能储存1位二值代码,所以用n个触发器组成的寄存器能储存n位二值代码。—-————-—---—---—-—-——--—-—-—----————---—---———--—---—--——---—-------—-——--——

3 : 移位寄存器不具有的功能是() A:数据存储 B:数据运算 C:构成计数器 D:构成译码器 您选择的答案: 正确答案: D 知识点:移位寄存器不仅可以存储代码,还可以实现数据的串行—并行转换、数值的运算、数据处理及构成计数器。 -—-—————---—--——--—-——---——-———-—--—---——---————-————-----——-—--—-————--———— 4 :下列说法不正确的是() A:时序电路与组合电路具有不同的特点,因此其分析方法和设计方法也不同 B:时序电路任意时刻的状态和输出均可表示为输入变量和电路原来状态的逻辑函数 C:用包含输出与输入逻辑关系的函数式不可以完整地描述时序电路的逻辑功能 D:用包含输出与输入逻辑关系的函数式可以完整地描述时序电路的逻辑功能 您选择的答案:正确答案: D 知识点:时序逻辑电路的逻辑关系需用三个方程即输出方程、驱动方程及状态方程来描述。——---—-——-—————--—-——----—---—-—---—-——--—-—------————-——--——--———--—-------

数字电路期末总复习知识点归纳详细

第1章 数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章 逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式与常用公式 1)常量与变量的关系A+0=A与A=⋅1A A+1=1与00=⋅A A A +=1与A A ⋅=0 2)与普通代数相运算规律 a.交换律:A+B=B+A b.结合律:(A+B)+C=A+(B+C) c.分配律:)(C B A ⋅⋅=+⋅B A C A ⋅ 3)逻辑函数的特殊规律 a.同一律:A+A+A b.摩根定律:B A B A ⋅=+,B A B A +=⋅ b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则

在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 例如:C + ⋅ ⋅ ⊕ B B A⊕ A C 可令L=C B⊕ 则上式变成L A ⋅=C + L A⋅ = ⊕ ⊕ L A⊕ B A 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式与常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式 1)合并项法: 利用A+1= A= ⋅ ⋅, 将二项合并为一项,合并时可消去一个变量 B = A +A A或A B 例如:L=B A + B A= ( C +) = A C A B C C B 2)吸收法 利用公式A +,消去多余的积项,根据代入规则B ⋅ A⋅可以是任何一个复杂的逻辑A B A= 式 例如化简函数L=E B + AB+ D A 解:先用摩根定理展开:AB=B A+再用吸收法 L=E B + AB+ A D 3)消去法 利用B +消去多余的因子 = A+ B A A 例如,化简函数L=ABC A + + B A+ B B E A 解:L=ABC + A + B A+ B B E A 4)配项法 利用公式C = + ⋅ ⋅将某一项乘以(A + + ⋅ A A B BC C B A A⋅ A+),即乘以1,然后将其折成几项,

数字电路期末总复习知识点归纳详细

第1章 数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、根本逻辑门电路 第2章 逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的根本公式和常用公式 1〕常量与变量的关系A+0=A与A=⋅1A A+1=1与00=⋅A A A +=1与A A ⋅=0 2〕与普通代数相运算规律 a.交换律:A+B=B+A A B B A ⋅=⋅ b.结合律:〔A+B〕+C=A+〔B+C〕 )()(C B A C B A ⋅⋅=⋅⋅ c.分配律:)(C B A ⋅⋅=+⋅B A C A ⋅ ))()(C A B A C B A ++=⋅+〕 3〕逻辑函数的特殊规律 a.同一律:A+A+A b.摩根定律:B A B A ⋅=+,B A B A +=⋅ b.关于否认的性质A=A

二、逻辑函数的根本规那么 代入规那么 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,那么等式仍然成立,这个规那么称为代入规那么 例如:C ⋅ + ⋅ A⊕ ⊕ A B C B 可令L=C B⊕ 那么上式变成L ⋅=C + A A⋅ L = ⊕ ⊕ A⊕ B A L 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的根本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式 1〕合并项法: 利用A+1 A= ⋅ ⋅, 将二项合并为一项,合并时可消去一个变量 B = A = A或A +A B 例如:L=B B C A= ( A +) + = A B C C A C B 2〕吸收法 利用公式A +,消去多余的积项,根据代入规那么B ⋅ A⋅可以是任何一个复杂的逻B A A= 辑式 例如化简函数L=E A + AB+ D B 解:先用摩根定理展开:AB=B A+再用吸收法 L=E + AB+ A D B =E + B A+ + A D B =) A D + + A+ ( ) (E B B =) A A+ D + + 1(E 1( B ) B =B A+

数字逻辑大一知识点

数字逻辑大一知识点 数字逻辑是计算机科学中的一个重要分支,涵盖了许多大一学生需要学习的知识点。本文将介绍数字逻辑的一些基础概念,包括逻辑门、布尔代数、半加器和全加器、多路选择器以及寄存器等。希望能够对大家理解数字逻辑有所帮助。 一、逻辑门 逻辑门是数字逻辑中的基本元件,用于进行逻辑运算。其中包括与门、或门、非门、异或门等。与门接受两个输入,并且只有当两个输入都为1时,输出才为1;或门接受两个输入,并且只要有一个输入为1,输出就为1;非门接受一个输入,并将输入取反作为输出;异或门接受两个输入,当两个输入相同时,输出为0,当两个输入不同时,输出为1。 二、布尔代数 布尔代数是数字逻辑的数学基础,用于描述和分析逻辑运算。布尔代数包括运算符号、运算规则和公式等。其运算规则包括交换律、结合律、分配律、吸收律等。通过布尔代数中的运算,可以对逻辑表达式进行简化和优化。

三、半加器和全加器 半加器用于对两个输入进行相加,并给出结果和进位的输出。 全加器是半加器的扩展,可以处理三个输入的相加运算,并给出 两个输出,一个是结果,一个是进位。半加器和全加器在数字电 路设计中经常被使用。 四、多路选择器 多路选择器用于选择多个输入信号中的一个输出信号。它拥有 一个或多个选择信号,根据选择信号的不同,可以选择不同的输 入信号作为输出。多路选择器在计算机中的数据选择和控制信号 选择等方面起到重要作用。 五、寄存器 寄存器是一种用于存储和传输数据的数字逻辑元件。它能够在 时钟信号的控制下,根据输入信号的变化将数据存储在其中,并 在需要的时候传输出来。寄存器在计算机的寄存器堆、存储器和 高速缓存等方面被广泛使用。 综上所述,数字逻辑是计算机科学中的一门重要课程,其中涉 及到的一些基础知识点包括逻辑门、布尔代数、半加器和全加器、

大一数字逻辑电路知识点

大一数字逻辑电路知识点 数字逻辑电路是电子工程中的重要基础知识之一。它涉及电子元件和逻辑门的组合与运算,是计算机科学和电子工程学习的基石。在大一学习数字逻辑电路时,我们需要掌握一些基本的知识点,包括布尔代数、逻辑运算、逻辑门和多路选择器等。下面将逐一介绍这些知识点的基本内容。 1. 布尔代数 布尔代数是一种数学工具,用于描述逻辑关系。它包括逻辑运算符(与、或、非)和逻辑常数(真、假),通过这些运算符和常数可以构建逻辑表达式。在数字逻辑电路中,布尔代数可以用于描述逻辑门的功能和操作。 2. 逻辑运算 逻辑运算是布尔代数的基础,常见的逻辑运算有与(AND)、或(OR)、非(NOT)等。其中,与运算是指同时满足多个条件时结果为真,或运算是指满足任意一个条件时结果为真,非运算是指将输入的逻辑值反转。 3. 逻辑门

逻辑门是数字逻辑电路的基本组成单元,它可以实现特定的布尔逻辑功能。常见的逻辑门有与门(AND)、或门(OR)、非门(NOT)、异或门(XOR)等。通过将逻辑门进行组合和连接,可以构建出更复杂的数字逻辑电路。 4. 多路选择器 多路选择器是一种能根据控制信号选取输入端数据的电路。它有多个输入通道和一个输出通道,通过控制信号的不同选择,可以将任意输入通道的数据输出。多路选择器在数字逻辑电路中常用于构建多路复用器、解码器等电路。 5. 数制转换 在数字逻辑电路中,我们常常需要进行不同进制之间的转换,包括二进制、十进制、八进制和十六进制。了解不同数制之间的转换方法可以帮助我们更好地理解和分析数字逻辑电路。 6. 真值表 真值表是用于描述布尔函数的一种表格形式。通过真值表,我们可以清楚地了解输入和输出之间的逻辑关系,并判断逻辑电路

数字逻辑应用与设计知识点

数字逻辑应用与设计知识点数字逻辑应用与设计是计算机科学与工程领域的重要基础知识,它涉及到数字电路的设计、逻辑分析与应用等方面。本文将从以下几个方面对数字逻辑应用与设计的相关知识点进行探讨。 一、数字逻辑基础知识 1. 二进制与十进制:介绍二进制与十进制数制的互相转换方法,以及其在计算机中的应用。 2. 逻辑门与布尔代数:介绍逻辑门的种类与功能,并引出与逻辑门相关的布尔代数的基本规则。 3. 组合逻辑电路:讲解组合逻辑电路的设计原理、常用的逻辑门电路,以及组合逻辑电路的应用。 二、数字逻辑应用 1. 编码器与解码器:介绍编码器与解码器的基本原理、种类及其应用场景。 2. 多路选择器与复用器:讲解多路选择器与复用器的基本概念、操作方式及其在电路设计中的应用。 3. 加法器与减法器:讲解全加器和全减器的结构和实现方法,并介绍加法器和减法器的级联应用。 4. 移位寄存器与计数器:介绍移位寄存器和计数器的基本原理,以及它们在数字系统中的应用。

三、数字逻辑设计 1. Karnaugh图:简要介绍Karnaugh图及其在逻辑函数化简中的应用方法。 2. 时序逻辑与状态机:讲解时序逻辑电路的基本概念,引出状态机的概念和分类,并举例说明其应用。 3. 存储器与寄存器:介绍存储器的基本结构、存储方式,以及常用的寄存器类型。 4. 控制器设计:讲解控制器的设计原理与方法,引入基本的有限状态机的设计流程。 综上所述,数字逻辑应用与设计的知识点包括数字逻辑基础、数字逻辑应用和数字逻辑设计等方面。它们是计算机科学与工程领域中不可或缺的基础知识,对于深入理解计算机原理和设计具有重要意义。通过学习与应用这些知识点,我们可以更好地理解数字电路的工作原理,为计算机系统的设计与优化提供有力支持。

数字电路知识点总结(精华版)

数字电路知识点总结(精华版) 数字电路知识点总结(精华版) 第一章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与十六进制数的转换 二、基本逻辑门电路 第二章逻辑代数 逻辑函数的表示方法有:真值表、函数表达式、卡诺图、逻辑图和波形图等。 一、逻辑代数的基本公式和常用公式

1.常量与变量的关系 A + 0 = A,A × 1 = A A + 1 = 1,A × 0 = 0 2.与普通代数相运算规律 a。交换律:A + B = B + A,A × B = B × A b。结合律:(A + B) + C = A + (B + C),(A × B) × C = A ×(B × C) c。分配律:A × (B + C) = A × B + A × C,A + B × C = (A + B) × (A + C) 3.逻辑函数的特殊规律 a。同一律:A + A = A b。摩根定律:A + B = A × B,A × B = A + B c。关于否定的性质:A = A' 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量 A 的地方,都用一个函数 L 表示,则等式仍然成立,这个

规则称为代入规则。例如:A × B ⊕ C + A × B ⊕ C,可令 L = B ⊕ C,则上式变成 A × L + A × L = A ⊕ L = A ⊕ B ⊕ C。 三、逻辑函数的化简——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与或表达式。 1.合并项法 利用 A + A' = 1 或 A × A' = 0,将二项合并为一项,合并 时可消去一个变量。例如:L = ABC + ABC = AB(C + C) = AB。 2.吸收法 利用公式 A + A × B = A,消去多余的积项,根据代入规 则 A × B 可以是任何一个复杂的逻辑式。例如化简函数 L = AB + AD + BE,先用摩根定理展开:AB = A + B,再用吸收法: L = AB + AD + BE A + B + AD + BE A + AD) + ( B + BE)

数字电路期末总复习知识点归纳详细

第1章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A= ⋅1A A+1=1与0 ⋅A 0= A⋅=0 A+=1与A A 2)与普通代数相运算规律 a.交换律:A+B=B+A ⋅ A⋅ = B A B b.结合律:(A+B)+C=A+(B+C) B A⋅ ⋅ ⋅ C ⋅ = ( ) A ) (C B c.分配律:) ⋅=+ A⋅ B (C A⋅ A C ⋅B A+ B + +) ⋅ = C )() ) (C A B A 3)逻辑函数的特殊规律 a.同一律:A+A+A b.摩根定律:B A+ B ⋅ A = A B +,B A⋅ = b.关于否定的性质A=A

二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 例如:C ⋅ + ⋅ A⊕ ⊕ A B C B 可令L=C B⊕ 则上式变成L ⋅=C + A A⋅ L = ⊕ ⊕ A⊕ B A L 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式 1)合并项法: 利用A+1 A= ⋅ ⋅, 将二项合并为一项,合并时可消去一个变量 B = A = A或A +A B 例如:L=B B C A= ( A +) + = A B C C A C B 2)吸收法 利用公式A +,消去多余的积项,根据代入规则B ⋅ A⋅可以是任何一个复杂的逻辑B A A= 式 例如化简函数L=E A + AB+ D B 解:先用摩根定理展开:AB=B A+再用吸收法 L=E + AB+ A D B =E + B A+ + A D B =) A D + + A+ ( ) (E B B =) A A+ D + + 1(E 1( B ) B =B A+

数字逻辑电路基础知识

数字逻辑电路基础知识 第一章数字逻辑电路基础知识 1.1 数字电路的特点 1.2 数制与转换 1(3 二进制代码 1(4 基本逻辑运算 (本章重点 1. 数字电路的特点 2.二进制、十进制、八进制、十六进制的表示 3. 二进制、十进制、八进制、十六进制转换 4.掌握BCD码编码方法 5.了解ASCII码 1.1 数字电路的特点 1.1.1 数字电路的基本概念 1. 数字量与数字信号 模拟量:具有时间上连续变化、值域内任意取值的物理量。例如温度、压力、交流电压等就是典型的模拟量。 数字量:具有时间上离散变化、值域内只能取某些特定值的物理量。例如训练场上运动员的人数、车间仓库里元器件的个数等就是典型的数字量。 表示模拟量的电信号叫作模拟信号;表示数字量的电信号叫作数字信号。正弦波信号、话音信号就是典型的模拟信号,矩形波、方波信号就是典型的数字信号。 数字信号通常又称为脉冲信号。脉冲信号具有边沿陡峭、持续时间短的特点。广义讲,凡是非正弦信号都称为脉冲信号。

数字信号有两种传输波形,一种称为电平型,另一种称为脉冲型。 0 1 0 0 1 1 0 1 0 电平型信号 脉冲型信号 2. 数字电路及其优点 模拟电路:产生、变换、传送、处理模拟信号的电路 数字电路:产生、存储、变换、处理、传送数字信号的电数字电路主要具有以下优点: 1) 电路结构简单,制造容易,便于集成,成本低。 2) 数字电路不仅能够完成算术运算,而且能够完成逻辑运算,因此被称为数字逻辑电路或逻辑电路。 3) 数字电路组成的数字系统,抗干扰能力强,可靠性高,稳定性好。 1.1.2 数字集成电路的发展趋势 大规模、低功耗、高速度、可编程、可测试、多值化 1.2 数制 1.2.1 数制 1.数制 数制:表示数值大小的各种方法的统称。一般都是按照进位方式 计数的,称为进位计数制,简称进位制。来实现 基数:数制中允许使用的数符个数;R进制的基就等于R。 权:处于不同位置上的相同数符所代表的数值大小。 2. 数制转换任意进制数转换为十进制数:按权展开法。 例:将二进制数(1011001.101)和十六进制数(AD5.C)转换为2 16 十进制数。2) 二进制数与十六进制数的相互转换

数字逻辑知识点总结

chi. 1、三极管的截止条件是V三,截止的特点是I b=|c~0 ;饱和条件是 I bA (Ec-Vces ) / ( 3 ・ R),饱和的特点是V BE-,VC E=X E。。 2、逻辑常量运算公式 、逻辑变量、常量运算公式 、逻辑代数的基本定律 根据逻辑变量和逻辑运算的基本定义,可得出逻辑代数的基本定律。 ①互非定律:A+A = l , A ? A = 0 ; A A 1 , A? A 0; ②重叠定律(同一定律):A? A=A, A+A=A ; ③反演定律(摩根定律):A? B=A+B9 A+B=A ? B A B A?B,A?B A B; ④还原定律:A A

1、三种基本逻辑是与、或、非。 2、三态输出门的输出端可以出现高电平、底电平和高阻三种状态。 ch3. 1、组合电路的特点:电路任意时刻输出状态只取决于该时刻的输入状态,而与该时刻前的 电路状态无关。 2、编码器:实现编码的数字电路 3、译码器:实现译码的逻辑电路 4、数据分配器:在数据传输过程中,将某一路数据分配到不同的数据通道上。 5、数据选择器:逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输 出信号。 6、半加器:只考虑两个一位二进制数相加,而不考虑低位进位的运算电路。 7、全加器:实现两个一位二进制数相加的同时,再加上来自低位的进位信号。 8、在数字设备中,数据的传输是大量的,且传输的数据都是由若干位二进制代码0和1组合而成的。 9、奇偶校验电路:能自动检验数据信息传送过程中是否出现误传的逻辑电路。 10、竞争:逻辑门的两个输入信号从不同电平同时向相反电平跳变的现象。 11、公式简化时常用的的基本公式和常用公式有(要记住) : 1) A BC ABAC 2)AB A B A B A B ( 德.摩根定律) 3) A A B A B 4)AB AB BC AB AB 5)AB A B AB AB AB AB AB AB 12、逻辑代数的四种表示方法是真值表、函数表达式、卡诺图和逻辑图。

数字逻辑第一章知识点小结

数字逻辑知识点总结 第一章 数制与编码 1.1十进制与二进制数的表示 1、十进制(D):基数为10,十个独立的符号(0-9),满十进一. 推广:N 进制:N 个独立的符号(0-N ),满N 进一。 2、在一个采用进位计数制表示的数中,不同数位上的固定常数称为“权”。例如十进制数632.45,从左至右各位的权分别是: 10 2 , 101010102 101,,,--。 位置计数表示法:632.45 3、表示方法 按权展开表示法: 10101010102 1 1 2 *5*4*2*3*645.632--++++= 4、二进制运算:加法(1+1=0),减法,乘法,除法 5、十六进制(H ):数码:0,1,2,3,4,5,6,7,8,9,A ,B,C ,D ,E ,F 。

1。2二进制与十进制的转换 1、二进制转十进制:将二进制数写成按权展开式,并将式中各乘积项的积算出来,然后各项相加,即可得到相对应的十进制数。 2、十进制转二进制: 整数部分:除二取余,将余数倒序排列. 小数部分:“乘二取整",先将十进制小数部分乘以2,取其整数1或0作为二进制小数的最高位,然后将乘积的小数部分再乘以2,并再取整数作为次高位。重复上述过程,直到小数部分为0或达到所要求的精度。)101.0()625.0(210=。 例题:将)625.58(10转换成二进制数 解 )625.58(10 =)101.111010()101.0()111010()625.0()58(2 2 2 10 10 =+=+ 3、八进制数、十六进制数与二进制数的转换 方法:从小数点开始,分别向左、右按3位(转换成八进制)或4位(转换成十六进制)分组,最后不满3位或4位的则需加0。将每组以对应的八进制或十六进制数代替,即为等值的八进制数和十六进制数. 八进制: 2 5 7 。 0 5 5 4 二进制: 0 1 0 1 0 1 1 1 1 . 0 0 0 1 0 1 1 0 1 1 0 0 十六进制: A F 1 6 C

相关主题
相关文档
最新文档