基于CPLD的无刷直流电动动机驱动器设计

合集下载

无刷直流电机PI控制系统的设计及分析

无刷直流电机PI控制系统的设计及分析

无刷直流电机PI控制系统的设计及分析杨林;刘曰涛;沈宝民;仲伟正【摘要】Traditional software controllers have such problems as slow running speed, low precision, poor immunity from interference, and high cost. A PI control system of BLDCM is presented based on complex programmable logic device to solve these problems. This system is composed of all hardware and adopts trapezoidal commutation control strategy. It has advantages of high response speed and strong immunity from interference. At the same time, the effect of different PWM modulation modes on armature current and electromagnetic torque of brushless current motor is analyzed, and the H-PWN—L-PWM modulation mode is selected to achieve the desired control effect. Finally, an experimental platform is built. The driver reaches stable state after 25.6 ms at the set speed of 2500 r/min. The results show that the system has good dynamic response performance.%针对传统软件控制方式运行速度慢、精度低、抗干扰能力差、成本高等问题,设计一种以复杂可编程逻辑器件(CPLD,complex programmable logic device)为核心的无刷直流电机PI控制系统.系统采用全硬件电路设计和梯形换向控制的策略,具有高响应速度和抗干扰能力.同时,分析不同脉冲宽度调制(PWM,pulse width modulation)方式对无刷直流电机续流回路和电磁转矩的影响,选取H-PWN—L-PWM的调制方式以达到理想的控制效果.最后搭建实验平台,控制系统在设定转速为2 500 r/min的情况下,经过25.6 ms到达稳定状态,结果表明该系统具有良好的动态响应性能.【期刊名称】《西安工程大学学报》【年(卷),期】2019(033)001【总页数】7页(P81-87)【关键词】无刷直流电机;可编程逻辑器件;PI控制系统;梯形换向控制;脉冲宽度调制【作者】杨林;刘曰涛;沈宝民;仲伟正【作者单位】山东理工大学机械工程学院, 山东淄博 255049;山东理工大学机械工程学院, 山东淄博 255049;山东理工大学机械工程学院, 山东淄博 255049;山东理工大学机械工程学院, 山东淄博 255049【正文语种】中文【中图分类】TM330 引言无刷直流电机具有质量轻、体积小、扭矩大、寿命长等优点,在工业控制、医疗器械、家用电器等领域有广阔的应用前景[1]。

基于单片机的无刷直流电机的控制系统设计

基于单片机的无刷直流电机的控制系统设计

【基于单片机的无刷直流电机的控制系统设计】1. 引言无刷直流电机(BLDC),作为一种高效、低噪音、长寿命的电动机,被广泛应用于各种领域。

而采用单片机进行控制,实现对BLDC的精准控制,则成为现代工业中的热门技术。

本文将围绕基于单片机的无刷直流电机控制系统设计展开探讨,深入剖析其原理和实现过程。

2. 无刷直流电机的工作原理无刷直流电机是一种采用电子换相技术的电机,其工作原理与传统的直流电机有所不同。

它不需要使用碳刷和电刷环来实现换向,而是通过内置的电子控制器来精确控制转子上的永磁体和定子上的电磁线圈的相互作用,实现转子的旋转运动。

3. 单片机在无刷直流电机控制中的作用单片机在无刷直流电机的控制系统中扮演着核心角色,它通过内置的PWM模块生成PWM波形,用于控制电机驱动器中的功率器件,同时监测电机的运行状态,并根据需要进行调整和反馈控制,实现对电机的精准控制。

4. 基于单片机的无刷直流电机控制系统设计(1)硬件设计在设计基于单片机的无刷直流电机控制系统时,需要考虑到电机的功率和控制要求,选择合适的单片机和电机驱动器,设计电机驱动电路以及检测装置,确保系统能够稳定可靠地工作。

(2)软件设计利用单片机的PWM模块生成PWM波形,采用适当的控制算法(如PID控制算法),编写控制程序,实现对无刷直流电机的精准控制。

考虑到系统的实时性和稳定性,需要进行充分的软件优化和调试。

5. 个人观点和理解在基于单片机的无刷直流电机控制系统设计中,充分理解无刷直流电机的工作原理和单片机的控制特点,合理选择硬件和编写软件,是至关重要的。

只有系统全面、深刻地理解,才能设计出高质量、稳定可靠的控制系统。

6. 总结本文围绕基于单片机的无刷直流电机控制系统设计展开了探讨,从无刷直流电机的工作原理、单片机在控制系统中的作用,到具体的硬件设计和软件设计,全面、深入地阐述了相关内容。

希望通过本文的阐述,读者能够对基于单片机的无刷直流电机控制系统设计有更深入的理解和应用。

CPLD设计

CPLD设计

随着单片机和微型计算机[26]的高速发展,伺服系统逐渐向智能化方向的发展,并伴随外围电路专用集成电路的出现,促进了直流伺服电动机控制技术的显著进步。

当这些技术领域发展到一定程度就构成快响应、高精度的直流伺服系统,进而电力半导体驱动装置逐步取代了电液驱动,比如军用伺服系统。

正因为直流电机容易进行调速,并能在大范围内实现精密的位置控制和速度控制,所以直流伺服系统广泛应用于要求系统性能高的场合;直流伺服电机具有良好的机械性,能在大范围内实现启动、制动、平滑调速和正反转等,在传动领域中仍占有很重要的地位;从传动系统来看,随着直流电机调速系统的不断更新与发展,作为控制系统的核心部件的微机,具有控制、监视、检测、故障诊断与故障处理的多功能电气传动系统正在形成。

由于近年来电力电子技术和微电子的快速发展,使得各种伺服电机控制的智能化功率集成电路系统正朝着模块化、数字化的方向发展[21~25]。

概括的说,伺服系统的发展趋势可以体现在以下几个方面:第一:全数字化。

新的伺服系统是高度集成化的、多功能的控制单元;同一个控制单元中,只要通过软件设置参数,就能改变其性能。

它可以通过接口与外部位置传感器或速度传感器构成高精度全闭环控制系统,也可以使用电机本身配置的传感器构成半闭环控制系统;高度的集成还大大地缩小了整个系统的体积,简化了伺服系统的安装与调试。

第二:智能化。

智能化是工业控制设备的趋势,伺服驱动系统也逐渐向智能化方向发展。

伺服控制单元的智能化主要有以下几个特点:首先它们都具有记忆功能,所有系统的运行参数都保存在伺服单元的内部,这些参数都可以通过通信接口在计算机上修改,使用起来很方便;其次它们都有故障诊断的功能,当系统出现故障时,可以通过计算机把故障的类型以及故障的原因清楚地显示出来,极大地减少了维修与调试的时间;其次,某些伺服系统还具有特定的参数自整定功能,该伺服单元可以通过几次运行,将系统的参数整定出来,进而实现其最优化控制。

基于CPLD的对转无刷直流电动机换相逻辑研究

基于CPLD的对转无刷直流电动机换相逻辑研究
Ab s t r a c t : A c o m mu t a t i o n l o 6 c s c h e me o f c o u n t e r - r o t a t i n g p e r m a n e n t m a g n e t B L D C M b a s e d o n C P L D w a s i n t r o d u c e d .
d e v e l o p me n t p l a t f o m. r Th e n t h e p r o g r a m wa s d o wn l o a d e d t o t h e MAX Ⅱ C P L D E P M5 7 0 t e s t b o a r d t o d e b u g . T h e s i mu l a t i o n
S H A N Y o u — h u i , J I A O Z h e n - h o n g , Y A NG
, H E
- f e i ,W A N G X i n - x i n g
( N o r t h w e s t e r n P o l y t e e h n i e a l U n i v e r s i t y , X i a n 7 1 0 0 7 2 , C h i n a )
a n d e x p e r i me n t a l r e s u l t s v e r i f y t h e f e a s i b i l i t y o f t h e d e s i g n s c h e me , wh i c h h a s a g o o d a p p l i c a t i o n p r o s p e c t .

基于可编程逻辑器件的无刷直流电动机双极性驱动

基于可编程逻辑器件的无刷直流电动机双极性驱动
王秋 妍 ,傅 周 兴
( 安 科 技 大 学 电控 学 院 ,西 安 7 0 5 ) 西 1 0 4
摘 要 :复杂 可编程逻 辑器 件 ( P D) 可实现 强大 的 数 字逻 辑 功 能。利 用 该特 性 实现 了无刷 直 CL 流 电动机 ( L C B D M)双极 性驱 动逻 辑控 制 ,可提 高低 速 运 行 时 的稳 定 性 。C L 可 简 化控 制 芯 PD0 6年
第3 9卷
第 5期 ( 总第 12期 ) 5
中 图分 类号 :TM3 1 6
文 献 标 识 码 :A
文 章 编 号 :10 —88 2 0 )50 5 —4 0 16 4 (0 60 —0 00
基 于 可 编 程 逻 辑 器 件 的 无 刷 直 流 电 动 机 双 极 性 驱 动
很 高 ,但要 频繁并 迅速 实现 正反转 的场 合 ,通 常采
用 PC ( D P I 或 S )加外 围集成 电路 的方式 实现 。这 类 集成 电路 绝大部 分是 由数 字逻辑 电路 组成 。根据 数 字 电路 的 发 展 ,可 将 数 字 集 成 电 路 分 为 3类 :

置 ,分 担 了 P C 的逻 辑 运 算 压 力 ,使 P C 的模 拟 I I 数 字 转 换 、控 制 运 算 功 能 和 C L 的 逻 辑 运 算 PD 功 能 都 得 到 了最 大 程 度 发 挥 ,同 时 大 大 降 低 了系
I 引 罱 J
电机 双极性驱 动特 别适 用于调 速精 度要求 不是
布线 能 力 ,更 适 合 于 组 合 逻 辑 。本 文 以 P C+ I C L 方 式组 成 电机 控 制 系 统 的核 心 ,实 现 电机 PD 双极 性 驱 动 。 由于 C L 的 高度 集 成 特 性 和 强 大 PD 的数 字 逻 辑 功 能 ,因此 简化 了控 制 系 统 的外 围设

基于CPLD的PWM控制电路设计说明

基于CPLD的PWM控制电路设计说明
一种基于 CPLD 的 PWM 控制电路设计
2008 年 08 月 04 日
社区交流
介绍了利用硬件描述语言 VHDL 设计的一种基于 CPLD 的 PWM 控制电路,该PWM控制电路具有 PWM 开关频率可调,同侧2路信号互锁、延时时间可调、接口简单等特点,可应用于现代直流伺服 系统。
在直流伺服控制系统中,通过专用集成芯片或中小规模的数字集成电路构成的传 统 PWM 控制电路往往存在电路设计复杂,体积大,抗干扰能力差以及设计困难、设计周 期长等缺点 因此 PWM 控制电路的模块化、集成化已成为发展趋势.它不仅可以使系统 体积减小、重量减轻且功耗降低,同时可使系统的可靠性大大提高.随着电子技术的发 展,特别是专用集成电路(ASIC)设计技术的日趋完善,数字化的电子自动化设计(EDA) 工具给电子设计带来了巨大变革,尤其是硬件描述语言的出现,解决了传统电路原理图 设计系统工程的诸多不便.针对以上情况,本文给出一种基于复杂可编程逻辑器件(CPL D)的 PWM 控制电路设计和它的仿真波形. 1 PWM 控制电路基本原理
‘0'; Q<=Qs; cao<=reset or caolock; end a_counter;
在原理图中,延迟模块必不可少,其功能是对 PWM 波形的上升沿进行延时,而不影响 下降沿,从而确保桥路同侧不会发生短路.其模块的 VHDL 程序如下:
entity delay is port(clk: in std_logic; input: in std_logic_vector(1 downto 0); output:out std_logic_vector(1 downto 0) end delay; architecture a_delay of delay is

基于DSC+CPLD的双余度永磁无刷直流伺服系统

基于DSC+CPLD的双余度永磁无刷直流伺服系统
LgcD v e 简称 C L 构成的双余度永磁无刷直流 电机( L C , oi ei , c P D) B D M)将其作为飞行器舵机作动系统的执行机构。通
过对不同类型的余度运行模式与双余度 电机结构特性的 比较 , 确定了系统的设计方案。 分析 了以 dPC O 5 1 sI3 F 0 5为核
t e s se s h me i p o o e 。h u 1rd n a c y tm a d r t cu e a d t r e co e o p c nr l t tg r h y tm c e r p s dT e d a . u d n y s s s e e h r wa e s u r tr n h e ls d lo o t r e y we osa e d sg e 。h p i c pe f s I O 5 c n r l i u t p we i v r r i ut a d e ov rt- ii l i u t r e in dT e r i l o d P C3 F 01 n o t cr i, o r n et c r i n rs le - - gt cr i 5 o c e c od a c ae i t d c dTh y tm rfr n e wa a u e y d b g i g paf r b itb a W i d wsC .h x e i n s l nr u e . es s o e p e e e c s me s r d b e u g n lt m u l y L b n o / V1 e e p r o T me tr ut e s
周 奇 勋 一,李声晋 ,卢 刚 周 勇 . : ,
(. 1 西北工业大学 , 陕西 两安 70 7 ; 、 10 2 2西安科技大学,陕西 西安 705) 10 4

基于CPLD的PWM控制电路设计

基于CPLD的PWM控制电路设计

基于CPLD的PWM控制电路设计-介绍了利用硬件描述语言VHDL设计的一种基于CPLD的PWM操纵电路,该PWM操纵电路具有PWM开关频率可调,同侧2路信号互锁、延时时刻可调、接口简单等特点,可应用于现代直流伺服系统。

在直流伺服操纵系统中,通过专用集成芯片或中小规模的数字集成电路构成的传统PWM操纵电路往往存在电路设计复杂,体积大,抗干扰能力差以及设计困难、设计周期长等缺点因此PWM操纵电路的模块化、集成化已成为进展趋势.它不仅能够使系统体积减小、重量减轻且功耗降低,同时可使系统的可靠性大大提升.随着电子技术的进展,专门是专用集成电路(AS IC)设计技术的日趋完善,数字化的电子自动化设计(EDA)工具给电子设计带来了庞大变革,专门是硬件描述语言的显现,解决了传统电路原理图设计系统工程的诸多不便.针对以上情形,本文给出一种基于复杂可编程逻辑器件(CPLD)的PWM操纵电路设计和它的仿真波形.1 PWM操纵电路差不多原理为了实现直流伺服系统的H型单极模式同频PWM可逆操纵,一样需要产生四路驱动信号来实现电机的正反转切换操纵.当PWM操纵电路工作时,其中H桥一侧的两路驱动信号的占空比相同但相位相反,同时随操纵信号改变并具有互锁功能;而另一侧上臂为低电平,下臂为高电平.另外,为防止桥路同侧对管的导通,还应当配有延时电路.设计的整体模块见图1所示.其中,d[7:0]矢量用于为微机提供调剂占空比的操纵信号,cs为微机提供操纵电机正反转的操纵信号,clk为本地晶振频率,qout[3:0]矢量为四路信号输出.其内部原理图如图2所示.该设计可得到脉冲周期固定(用软件设置分频器I9可改变PWM开关频率,但一旦设置完毕,则其脉冲周期将固定)、占空比决定于操纵信号、辨论力为1/256的PWM信号.I8模块为脉宽锁存器,可实现对来自微机的操纵信号d[7:0]的锁存,d[7:0]的向量值用于决定PWM信号的占空比.clk本地晶振在经I9分频模块分频后可为PWM操纵电路中I12计数器模块和I11延时模块提供内部时钟.I12计数器在每个脉冲的上升沿到来时加1,当计数器的数值为00H或由0FFH溢出时,它将跳到00H时,cao输出高电平至I7触发器模块的置位端,I7模块输出一直保持高电平.当I8锁存器的值与I12计数器中的计数值相同时,信号将通过I13比较器模块比较并输出高电平至I7模块的复位端,以使I7模块输出低电平.当计数器再次溢出时,又重复上述过程.I7为RS触发器,通过它可得到两路相位相反的脉宽调制波,并可实现互锁.I11为延时模块,可防止桥路同侧对管的导通,I10模块为脉冲分配电路,用于输出四路满足设计要求的信号.CS为I10模块的操纵信号,用于操纵电机的正反转.2 电路设计本设计采纳的是Lattice半导体公司推出的is-plever开发平台,该开发平台定位于复杂设计的简单工具.它采纳简明的设计流程并完整地集成了Leo nardo Spectrum的VHDL综合工具和ispVMTM系统,因此,无须第三方设计工具便可完成整个设计流程.在原理设计方面,本设计采纳自顶向下、层次化、模块化的设计思想,这种设计思想的优点是符合人们先抽象后具体,先整体后局部的思维适应.其设计出的模块修改方便,不阻碍其它模块,且可重复使用,利用率高.本文仅就原理图中的I12计数器模块和I11延迟模块进行讨论.计数器模块的VHDL程序设计如下:entity counter isport(clk: in std logic;Q : out std logic vector(7 downto 0);cao: out std_logic);end counter;architecture a_counter of counter issignal Qs: std_logic_vector(7 downto 0);signal reset: std_logic;signal caolock: std_logic;beginprocess(clk,reset)beginif(reset=‘1')thenQs<=“00000000”;elsif clk'event and clk=‘1' thenQs<=Qs+‘1';end if;end process;reset<=‘1' when Qs=255 else‘0';caolock<=‘1' when Qs=0 else‘0';Q<=Qs;cao<=reset or caolock;end a_counter;在原理图中,延迟模块必不可少,其功能是对PWM波形的上升沿进行延时,而不阻碍下降沿,从而确保桥路同侧可不能发生短路.其模块的VHDL程序如下:entity delay isport(clk: in std_logic;input: in std_logic_vector(1 downto 0);output:out std_logic_vector(1 downto 0)end delay;architecture a_delay of delay issignal Q1,Q2,Q3,Q4: std_logic;beginprocess(clk)beginif clk'event and clk=‘1' thenQ3<=Q2;Q2<=Q1;Q1<=input(1);end if;end process;Q4<=not Q3;output(1)<=input(1)and Q3;output(0)<=input(0)and Q4;end a_delay;图3为原理图中的若干信号的波形仿真图.3 终止语采纳可编程逻辑器件和硬件描述语言,同时利用其供应商提供的开发工具可大大缩短数字系统的设计时刻,节约新产品的开发成本,另外,还具有设计灵活,集成度高,可靠性好,抗干能力强等特点.本文设计的PWM操纵电路用于某光测设备的传动装置时,取得了良好的成效.。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

中文摘要无刷直流电机(BLDC)是利用电子换向装置代替有刷直流电机的机械换向装置,无刷直流电机(BLDC)相比较于有刷直流电机来说有很多优点,例如无刷直流电机比有刷直流电机的体积小、重量轻、效率高、无励磁损耗等,而且运行十分可靠,维护比较方便。

而CPLD集成度高,编程灵活,并且填补了PLD只能应用于小规模电路的局限,能够应用于大规模电路。

所以研究基于CPLD无刷直流电机BLDC驱动器的设计,具有十分重要的意义。

本论文系统的阐述了无刷直流电动机的原理及控制技术,在此基础上提出了基于CPLD无刷直流电动机驱动器的设计。

本课题设计包括系统的硬件设计和软件设计。

系统硬件设计严格按照模块化的设计方法,主要模块有ARM系列微控制器LPC2138模块、CPLD控制器模块、智能功率IPM模块、转子位置检测模块、电流电压检测模块及人机接口模块等,理解和分析了硬件电路各个模块之后,在proteus上绘制了系统电路图。

系统的软件设计提出了数字PI控制算法,并完成了相关CPLD硬件描述语言VHDL设计与编程。

关键词CPLD BLDC ARM微控制器LPC2138ABSTRACTThe brushless DC motor (BLDC) is the use of electronic steering device instead of mechanical reversing device of brush DC motor, so compared with the brush DC motor ,the brushless DC motor (BLDC) has many advantages, such as small volume, light weight, high efficiency, no excitation loss, and the operation is reliable;the maintenance is convenient. While CPLD is highly integrated, flexible programming, and it fill the disadvantages that the PLD can only be applied to small scale circuit limitations.it can be applied to large scale circuit. So the research on the design of CPLD brushless DC motor based on the BLDC drive is of the very vital significance.The paper describes the principle and control system of the brushless DC motor, it proposes the design of brushless DC motor driver based on CPLD. The design includes hardware design and software design. The hardware design of the system is in accordance with the modular design method strictly, the main module includes ARM series micro controller LPC2138 module, CPLD controller module, intelligent power module IPM, the rotor position detection module, current and voltage detection module and man-machine interface module and so on, after understanding and analysis of the hardware circuit of each module , the drawing of the circuit diagram is drawn in Proteus system. The system of the software design proopses the digital PI control algorithm, and the CPLD hardware description language VHDL design and programming is completed.KEY WORDS PWM CPLD BLDC ARM microcontrollers LPC2138目录第一章绪论 (1)1.1 课题的背景和意义 (1)1.2 国内外研究现状和发展趋势 (2)1.2.1 无刷直流电机介绍 (2)1.2.2 无刷直流电机的发展趋势 (3)1.3 本文的研究内容 (4)第二章无刷直流电动机原理及控制技术 (5)2.1无刷直流电动机结构和原理 (5)2.1.1 无刷直流电动机的结构 (5)2.1.2 位置传感器 (6)2.1.3 无刷直流电动机的工作原理 (7)2.2 无刷直流电动机的驱动 (8)2.2.1 三相无刷直流电动机全桥驱动的联结方式[10- 12] (8)2.2.2 无刷直流电动机的PWM调速原理 (9)2.3 无刷直流电机的控制技术 (10)2.4 系统数字PI控制算法 (11)第三章控制器硬件电路设计 (13)3.1 硬件电路总体结构 (13)3.2 CPLD模块 (13)3.2.1 CPLD简介 (13)3.2.2 CPLD控制原理 (14)3.2.3 CPLD控制电路模块 (15)3.3 LPC2138模块 (17)3.3.1 LPC2138简介[4] (17)3.3.2 LPC2138控制原理 (18)3.3.3 LPC2138控制电路模块 (19)3.4 IPM模块 (21)3.5转子位置检测电路 (22)3.6电流电压检测电路 (24)3.7人机接口 (25)第四章系统软件设计 (27)4.1 系统软件的总体设计 (27)4.2 调速模块 (27)4.2.1速度PI控制器 (28)4.2.2电流PI控制器 (29)4.3 CPLD硬件描述语言 (30)4.3.1 CPLD硬件描述语言VHDL介绍 (30)4.3.2 本系统有关CPLD的硬件描述语言VHDL编程 (30)第五章总结 (31)5.1 总结 (31)5.2 展望 (31)结束语 (32)参考文献 (33)附录 (34)第一章绪论1.1 课题的背景和意义在无刷直流电机之前,工业应用的是有刷直流电机,但是随着电子电力器件和微控制技术的发展,有刷直流电机不能进一步满足工业需求,随之由无刷直流电机代替。

两者相比较而言,无刷直流电机比有刷直流电机有很大的优势,这种优势是有两者的内部结构不同而产生的。

虽然无刷电机和有刷电机都有定子和转子,但是两者结构正好相反;有刷电机的结构是传统的定子材料为永磁磁钢,转子上带有电枢绕组,转子连接着外部的动力输出轴;然而对于无刷电机来说,转子不再带有是电枢绕组,而是和有刷的定子材料一样,变成了永磁磁钢,同样与外部动力输出轴相连,定子却变成了带有电枢绕组的线圈,这样一来,由于定子是绕组线圈,所以没有了原来的换向电刷,减少了磨损。

传统上,有刷电机在工作时,只有线圈和换向器旋转,而磁钢和碳刷是不跟着转的,线圈电流方向的交替变化也是根据随电机转动的换相器和电刷来完成的。

由于有刷有齿直流电机是速度非常快电机,但齿轮的齿很小,这样一来,齿轮就很容易磨损。

而无刷直流电机,由于定子是绕组线圈,没有电刷,在使用过程中理所当然就省去了来回换碳刷的不便。

并且无刷电机控制精度高于有刷电机。

无刷电机消除电刷的磨损,,并且无刷电机没有电刷接触所产生的噪声。

有刷电机采用机械换向,寿命短﹑噪声大﹑产生电火花,效率低。

有刷电机连续使用一定时间就需更换电机内碳刷。

无刷电机以电子换向取代机械换向,无机械摩擦,无磨损,无电火花,免维护且能做到更加密封等特点所以技术上要优于有刷电机。

另外,无刷直流电机的高效率,高效区域大,功率和转矩密度高,永磁无刷直流电机在任何情况下转子都是同步运行,交流流频电机是变频调速,无刷直流电机是调速变频,电机在同步转速下运行,转子既无铜耗又无铁耗。

无刷直流电机又有另外的一系列优点,比如说电压要求低,启动的电流也不需要很大,堵转的效果也很好等等。

无刷直流电机(BLDC)近几年得到迅速的发展,它作为一种新型的电机,解决了有刷电机的种种缺点。

随着工业技术的发展,对系统提出了一系列的要求,例如,要求响应速度快,可靠性高,精度高,稳定性好等等,但是通常如果采用基于单片机等芯片的控制系统一般难以实现这一要求,而基于DSP等芯片的控制系统一般成本又太高。

但随着工业发展,无刷直流电机的各种控制方法也在不断地得到完善,尤其是可编程逻辑器件CPLD的出现,为满足用户的这些要求提供很大的可能性,本课题便是基于这一背景而提出的。

研究和设计基于CPLD的脉宽调整器,再结合智能功率模块IPM,以完成无刷直流电机驱动器的设计具有重要意义。

1.2 国内外研究现状和发展趋势1.2.1 无刷直流电机介绍在1955年,美国的D.哈里森等科学家第一次申请并通过了用晶体管换向的方法代替电机机械换向方法的专利,这就是现在的无刷直流电机的开始。

之后经过几代人不懈的努力,在1962年无刷直流电机又发展到一个新的台阶,即用霍尔元件实现了换向的方法。

到了80年代及以后,电力电子技术以及微电子技术得到迅猛发展,并且朝着集成化装置的方向在发展,也就是说,装置将驱动、保护电路、控制以及功率器件集成在一个元件上,即功率集成电路,这样以来,电机装置的体积就变得很小而且设计也比以前简单化了。

由于电力电子工业的迅猛发展,使得功率器件的性能得到进一步改善并且价格也在逐渐下降,物美价廉的好处使得无刷直流电机得到普遍应用。

另外,无刷直流电机的控制器由于电力电子工业的迅猛发展,经历了几个非常重要的阶段,比如有之前单纯模拟控制到之后的模拟和数字混合控制再到最后的单纯的数字控制。

以前的无刷直流电机都是由模拟器件加之硬件接线组成的。

众所周知,模拟器件在物理特性方面有一些不容忽视的缺点。

比如零漂温漂问题,以及元器件容易老化的问题,并且还有一个致命的缺点就是由于电力电子工业迅猛发展,升级换代比较频繁,而模拟器件用硬接线的方式不容易升级换代,这样以来,就不能满足电子系统的设计需求。

相关文档
最新文档