汽车尾灯控制 22222222
汽车尾灯控制

汽车尾灯控制摘要1879年,爱迪生发明了以电能为能源的真空白炽灯泡。
1886年汽车问世之初,老爷车在夜间行驶时悬挂的是摇晃不定的汽灯。
在汽车逐渐增加、车速也不断提高的情况下,汽车不能再靠一盏汽灯行驶。
于是依托新兴的白炽灯为汽车设计行车照明灯,并给汽车配备了车载小型发电机,这为汽车电气照明的发展奠定了基础。
在车辆不断增多、车速不断提高的情况下,各种尾灯如车灯、制动灯、转向灯、后雾灯等一系列灯种相继问世,为避免夜晚行车的追尾和转弯时相撞起了关键作用。
在夜晚或因天气原因能见度不高的时候,人们对汽车安全行驶要求很高.汽车尾灯控制系统给大家带来了方便。
汽车尾灯一般是用基于微处器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性。
难以满足现代汽车的智能化发展,本文设计了一种基于FPGA的汽车尾灯控制系统.并对系统进行了仿真及验证。
能实现控制器的在系统编程。
采用这种器件开发的数字系统其升级与改进极为方便。
关键字:引言随着汽车的越来越多,安全性日趋成为焦点,本文是通过运用已学的知识,对汽车尾灯进行设计,通过STC89C52单片机编写程序,来控制汽车尾灯LED的亮灭。
目前通用的汽车尾灯光源仍然是白炽灯和节能灯占主导地位,加上红、黄等配光透镜实现配光要求,缺点是易损坏、耗电量大、寿命短、激励响应时间长,给道路交通带来安全隐患等。
现有的LED汽车尾灯主要有两种:一种是用多个LED密布于灯壳内直接经配光透镜配光,其缺点是用了多颗LED或者用大功率LED,成本高;另外一种是将LED排布成平面或者柱状置于灯壳内,经自由曲面反射腔配光或自由曲面反射腔和配光透镜联台配光,其缺点是自由曲面反射腔制作工艺复杂。
现针对目前LED汽车尾灯配光困难、体积大等缺陷,有效利用LED光源体积小、亮度高等特点,设计出一种节能、高效的新型组合式LED汽车尾灯。
汽车尾灯控制器课程设计

汽车尾灯控制器课程设计一、课程目标知识目标:1. 学生能够理解并掌握汽车尾灯控制器的基本原理和电路组成;2. 学生能够描述不同类型汽车尾灯控制器的功能及特点;3. 学生能够运用所学知识,分析汽车尾灯控制器的工作过程及其在汽车安全中的作用。
技能目标:1. 学生能够运用绘图软件绘制简单的汽车尾灯控制器电路图;2. 学生能够通过实验操作,正确连接并测试汽车尾灯控制器电路;3. 学生能够运用编程软件编写简单的汽车尾灯控制程序,实现尾灯的基本控制功能。
情感态度价值观目标:1. 学生通过学习汽车尾灯控制器,培养对汽车电子技术的兴趣和热情;2. 学生能够认识到科技在生活中的应用,增强学以致用的意识;3. 学生在学习过程中,培养团队合作精神,提高沟通与协作能力。
课程性质:本课程为实践性较强的学科,结合理论教学与实际操作,旨在培养学生的动手能力、创新意识和实际应用能力。
学生特点:学生具备一定的电子技术基础知识,对汽车电子技术有一定的好奇心,喜欢动手实践。
教学要求:注重理论与实践相结合,强调学生的主体地位,充分调动学生的积极性,引导学生主动探究、实践和创新。
通过课程学习,使学生在知识、技能和情感态度价值观方面均取得具体的学习成果。
二、教学内容本课程教学内容主要包括以下三个方面:1. 理论知识:- 汽车尾灯控制器的基本原理;- 汽车尾灯控制器的电路组成及各部分功能;- 常见汽车尾灯控制器的类型及特点;- 汽车尾灯控制器在汽车安全中的作用。
教学内容参考教材相关章节,结合课程目标进行讲解。
2. 实践操作:- 汽车尾灯控制器电路图的绘制;- 汽车尾灯控制器电路的连接与测试;- 编写简单的汽车尾灯控制程序;- 分析实验结果,优化控制器设计。
实践操作部分结合教材实验指导,确保学生能够将理论知识应用到实际中。
3. 教学进度安排:- 第一周:介绍汽车尾灯控制器的基本原理和电路组成;- 第二周:讲解不同类型汽车尾灯控制器及其特点;- 第三周:指导学生绘制汽车尾灯控制器电路图;- 第四周:组织学生进行汽车尾灯控制器电路的连接与测试;- 第五周:编写简单的汽车尾灯控制程序,分析实验结果。
汽车尾灯控制器课程设计

汽车尾灯控制器课程设计一、课程目标知识目标:1. 学生能理解并描述汽车尾灯控制器的基本工作原理和电路组成。
2. 学生能够运用所学的电子元件知识,分析并设计简单的汽车尾灯控制电路。
3. 学生掌握相关的物理概念,如电流、电压、电阻,并了解它们在汽车尾灯控制器中的应用。
技能目标:1. 学生能够运用电路图绘制软件,设计并展示一个基本的汽车尾灯控制电路。
2. 学生通过小组合作,动手搭建并测试汽车尾灯控制电路,提升实际操作能力。
3. 学生能够运用问题解决策略,对汽车尾灯控制电路中可能出现的问题进行诊断和修复。
情感态度价值观目标:1. 学生培养对电子技术和汽车工程领域的兴趣,激发探索精神和创新意识。
2. 学生通过课程学习,认识到科技与生活的密切联系,增强学以致用的实践意识。
3. 学生在小组合作中学会沟通与协作,培养团队精神和责任感。
分析课程性质、学生特点和教学要求:本课程设计以电子技术为核心,结合汽车工程应用,针对高年级有一定电子基础的学生。
课程性质为理论与实践相结合,注重培养学生的动手能力和实际问题解决能力。
学生特点为好奇心强,喜欢探索新知,对实用性强的课程内容有较高的学习热情。
教学要求注重启发式教学,鼓励学生主动探索,合作交流,将理论知识与实践操作紧密结合,以达到最佳的学习效果。
通过具体的学习成果分解,后续教学设计和评估将更有针对性。
二、教学内容1. 教学大纲:a. 汽车尾灯控制器基本原理介绍(对应教材第3章)- 电路组成与功能- 控制器工作原理b. 电子元件及其在汽车尾灯控制器中的应用(对应教材第4章)- 电阻、电容、二极管、三极管等元件特性- 元件在控制电路中的作用c. 汽车尾灯控制电路分析与设计(对应教材第5章)- 电路图的识别与绘制- 控制电路的搭建与调试d. 故障诊断与问题解决策略(对应教材第6章)- 常见故障分析- 问题解决方法及技巧2. 教学内容安排与进度:- 第1课时:汽车尾灯控制器基本原理介绍- 第2课时:电子元件及其在汽车尾灯控制器中的应用- 第3课时:汽车尾灯控制电路分析与设计- 第4课时:动手实践:搭建与测试汽车尾灯控制电路- 第5课时:故障诊断与问题解决策略3. 教材章节及内容列举:- 教材第3章:汽车尾灯控制器基本原理- 教材第4章:电子元件及其应用- 教材第5章:汽车尾灯控制电路分析与设计- 教材第6章:故障诊断与问题解决三、教学方法1. 讲授法:- 对于汽车尾灯控制器基本原理和电子元件的基础知识部分,采用讲授法进行教学,教师通过清晰的讲解,使学生快速掌握理论要点。
汽车尾灯控制电路

汽车尾灯控制电路
设计思路
利用74161构成七进制计数器,经过74138译码器,对应七种状态 利用74160构成三进制,实现3个指示灯的循环点亮, 经非门接三进制 74下
汽车尾灯控制电路
元器件解释
74LS160 74LS160是一种十进制同步计数器。在 Multisim中,它可以在每个时钟脉冲 上进行计数。当时钟信号上升沿触发 时,计数器会自动加1。该计数器可以 在四个并行输入(J0、J1、J2和J3)上 接收输入信号,并输出四个二进制计 数结果(Q0、Q1、Q2和Q3)
汽车尾灯控制电路
74LS138 74LS138是一种3-8译码器, 用于将一个三位二进制地址 信号转换为8个选通输出信 号。它可以将一个3位二进 制地址信号(A0,A1和A2)转 换为8个选通信号(Y0至Y7)
汽车尾灯控制电路
DCD_HEX 在Multisim中,DCD_HEX是七段数码管的一种, 七段数码管是一种数字显示设备,用于显示0到9 以及其他一些特殊字符。通过将七段数码管与适 当的电路连接,并在数字输入端口上提供适当的 信号,可以将数字值显示在七段数码管上
汽车尾灯控制电路
(3)汽车左转弯行驶时,左侧3个指示灯按左循环顺序点亮,右侧的指示灯全灭 (4)汽车临时刹车时,所有指示灯同时处于闪烁状态 (5)右转弯刹车时,右侧的三个尾部灯顺序循环点亮,左侧的灯全亮 (6)左转弯刹车时,左侧的三个尾部灯顺序循环点亮,右侧的灯全亮 (7)倒车时,尾部两侧的6个指示灯随CP时钟脉冲同步闪烁 同时用七段数码管显示汽车的七种工作状态,即正常行驶、刹车、右转弯、左 转弯、右转弯刹车、左转弯刹车和倒车等功能
汽车尾灯控制电路
运行结果
1.正常行驶
汽车尾灯控制电路
汽车尾灯控制电路的设计

汽车尾灯控制电路的设计一.概述汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用。
汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。
设计一个汽车尾灯控制电路,技术指标如下:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);汽车正常运行时指示灯全灭;汽车左转弯时,左侧3个指示灯按左循环顺序点亮;汽车右转弯时,右侧3个指示灯按右循环顺序点亮;临时刹车时所有指示灯同时闪烁。
二.技术指标2.1初始条件直流可调稳压电源一台、万用表一块、面包板一块、元器件若干、剪刀、镊子等必备工具2.2技术要求设计汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
要求实现(1)汽车正常运行时指示灯全灭;(2)右转弯时右侧3个指示灯按右循环顺序点亮;(3)左转弯时左侧3个指示灯按左循环顺序点亮;(4)临时刹车时所有指示灯同时闪烁。
三.方案论证在设计本电路时,一共考虑过两种方案。
这两种方案在于产生001,010,100三种信号的方法不同。
3.1方案一1汽车尾灯控制电路主要由D触发器逻辑电路,左转、右转控制电路,刹车控制电路构成。
首先将脉冲信号CLK提供给D触发器逻辑电路。
用三片D触发器设计一个逻辑电路可以产生001、010、100的循环信号。
将此信号作为左转、右转的原始信号。
设置左转控制开关和右转控制开关。
通过开关的控制将左转、右转的原始信号通过逻辑电路分别输出到左、右的3个汽车尾灯上。
这部分电路起到信号分拣的作用。
设置刹车控制开关,将脉冲信号CLK提供给刹车控制电路。
当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时钟信号CLK全部闪烁的功能。
最终得到的信号即可输出到发光二极管上,实现所需功能。
方案一原理框图如图(1)所示。
图(1)方案一原理框图3.2方案二汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示、驱动电路构成。
汽车尾灯控制器

汽车尾灯控制器12. 132 汽车尾灯控制器1.1系统需求分析根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1.汽车正常使用是指示灯不亮2.汽车右转时,右侧的一盏灯亮3.汽车左转时,左侧的一盏灯亮4.汽车刹车时,左右两侧的指示灯同时亮5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用1.2汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。
当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯rd1亮;当汽车向左侧转弯时,汽车左侧的指示灯ld1亮;当汽车刹车时,汽车右侧的指示灯rd2和汽车左侧的指示灯ld2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯rd3和汽车左侧的指示灯ld3同时一直亮。
通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night和系统的输出信号:汽车左侧3盏指示灯ld1、ld2、ld3和汽车右侧3盏指示灯rd1、rd2、rd3实现以上功能。
系统的整体组装设计原理如图1.1所示。
图1.1 系统的整体组装设计原理汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。
1.3.1时钟分频模块整个时钟分频模块的工作框图如图1.2所示。
图1.2时钟分频模块工作框图时钟分频模块由VHDL程序来实现,下面是其VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk : in std_logic;q : out std_logic);end entity fenpin;architecture behave of fenpin issignal count:std_logic_vector(7 downto 0);beginprocess(clk)beginif rising_edge(clk) thencount<=count+1;end if;end process;1.3.2 汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3所示。
EDA 课程设计汽车尾灯控制器

测试方法:使用专业测试 设备进行测量
评估标准:符合国家标准 和行业规范
调试方法:根据测试结果 进行参数调整和优化
调试目标:达到最佳性能 和稳定性
实际应用的故障排除与维护
故障现象:尾灯不亮或闪烁异常 故障原因:线路故障、灯泡损坏、控制器故障等 故障排除:检查线路、更换灯泡、检查控制器等 维护方法:定期检查、清洁、更换老化部件等
03
EDA工具的使用
EDA工具介绍
EDA工具:电子设计自动化工具,用于电路设计和仿真
主要功能:电路设计、仿真、验证、优化等
常用EDA工具:Cadence、Mentor Graphics、Synopsys等 EDA工具在汽车尾灯控制器设计中的应用:电路设计、仿真、验证 等
EDA工具的基本操作
添加标题
信号输入模块:接收来自汽车其他系统 的信号
保护模块:保护电路免受过压、过流 等异常情况的影响
汽车尾灯控制器的设计要求
安全性:确保尾灯在紧急情况下能够及时亮起,提醒后车注意 稳定性:控制器应具备良好的稳定性,避免因故障导致尾灯无法正常工作 节能性:控制器应具备节能功能,降低汽车能耗 美观性:尾灯控制器的设计应与汽车整体设计风格相协调,美观大方
题所在并提出改进措施
07
汽车尾灯控制器的 实际应用与调试
实际应用的电路连接与调试
汽车尾灯控制器的电路连接:包括电源、地线、信号线等 汽车尾灯控制器的调试:包括电压、电流、信号等参数的测量和调整 汽车尾灯控制器的实际应用:包括尾灯的亮度、闪烁频率、颜色等参数的控制 汽车尾灯控制器的故障诊断与排除:包括故障现象、原因分析、解决方法等
元器件的选择与放置
电阻:选择合适的阻值和功率,用于限 流和分压
集成电路:选择合适的型号和功能, 用于实现特定的控制功能
汽车尾灯控制电路原理

汽车尾灯控制电路原理汽车尾灯控制电路是一个用于控制汽车尾灯点亮和熄灭的电路系统。
它是汽车电气系统中非常重要的一部分,其功能是在行驶过程中向后方其他车辆和行人传达车辆运行状态,确保行车安全。
汽车尾灯控制电路通常由以下几个部分组成:电源电路、控制开关、继电器、保险丝、电路线束、尾灯灯泡和接地。
电源电路是尾灯控制电路的起点,它通常由汽车电瓶供电。
电源电路还需要一个主电力开关,它通过电气连接或断开来控制整个电路系统的通断。
接下来,控制开关是尾灯控制电路的核心部分。
它通常是通过扭动、按压或翻转等方式操作的机械或电子开关。
控制开关的位置和状态决定了尾灯是否点亮。
控制开关与继电器相连。
继电器是一个电磁开关,它由控制开关的信号来控制开关通断。
当控制开关处于尾灯点亮位置时,继电器将触点闭合,将电流送到尾灯灯泡,灯泡会发出红光。
当控制开关处于尾灯熄灭位置时,继电器将触点断开,切断电流供给,灯泡熄灭。
为了防止电路短路和过载,汽车尾灯控制电路还需要添加适当的保险丝。
保险丝是一种保护装置,当电路中出现异常电流或过载时,它会断开电路,阻止电流继续通过,从而保护电气系统的安全运行。
电路线束是指将各个部分连接在一起的电线系统。
它们常常由抗氧化、耐高温和防火的材料制成,以确保线路的稳定性和持久性。
尾灯灯泡是尾灯控制电路中最终发光的部分。
它通常由一个或多个独立的灯泡组成,灯泡中的电流通过导电材料,使其内部的发光材料发光。
灯泡的数量、类型和功率会根据车型和规格的不同而有所不同。
最后,接地是汽车电气系统中一个至关重要的部分,尤其是在尾灯控制电路中。
接地可以将电流回路关闭到地面上,确保电流的正常流动。
接地还可以防止电路中的电压过高,从而保护电气设备的安全使用。
总之,汽车尾灯控制电路是一种复杂的电气系统,由多个组件和连接方式组成。
它通过电源电路、控制开关、继电器、保险丝、电路线束、尾灯灯泡和接地等部分协同工作,实现了汽车尾灯的可靠控制。
汽车尾灯的正常运行对于行车安全、交通规范和其他交通参与方的安全意识起着重要作用。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计(论文)题目名称汽车尾灯控制电路设计课程名称电子技术课程设计学生姓名陈锴贤学号1441201111系、专业电气系电气工程及其自动化指导教师吴德广2016 年 6 月12日摘要随着现代电子技术的发展,人们正处于一个信息时代,每天要从周围环境中获取大量的信息,这些信息通过我们的感觉器官进入大脑,并被存储下来,以作进一步分析。
所以,掌握一定的电子技术的知识是我们工科类大学生至关重要的。
本设计主要研究汽车尾灯的控制电路,令行人或其他车辆能更清晰明白他将要发生的动态变化。
学了数字逻辑课程之后再研究该电路是为了对课程中相关知识的进一步理解,延伸,提高应用能力。
设计的内容主要是设计汽车尾灯控制电路图,电路的模拟仿真,调试电路与排除故障,设计总结。
设计电路中应用了555集成定时器构成的多谐振荡器,双J-K触发器构成的三进制计数器,3-8译码器的功能,还有各种门电路。
通过此次的研究,会加深对相关知识的理解和实际应用。
利用proteus进行电路仿真。
关键词:汽车尾灯控制电路,三进制计数器,3-8译码器,多谐振荡器,proteus 仿目录1 设计要求与思路 (3)1.1设计目的与要求 (3)1.2设计思路与构想 (3)2单元电路设计 (6)2.1秒脉冲电路的设计 (6)2.2开关控制电路的设计 (7)2.3三进制计数器电路的设计 (8)2.4译码与显示驱动电路的设计 (9)2.5尾灯状态显示电路的设计 (11)3电路仿真与分析 (12)3.1电路仿真总电路图 (12)3.2汽车尾灯控制器电路的工作原理 (12)3.3参数计算与器件选择 (11)4仿真结果图 (12)5 总结 (18)6 参考文献 (19)附录元器件清单 (20)1 设计要求与思路1.1 设计目的与要求设计目的:设计一个汽车尾灯控制器,实现对汽车尾灯状态的控制。
设计要求:在汽车尾部左右两侧各有3个指示灯(假定用发光二极管模拟),根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。
②汽车向右转弯行驶时,右侧的三个指示灯按左循环顺序点亮。
③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮。
④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。
1.2 设计思路与构想1.2.2 方案二本方案设计采用74LS138译码器实现对灯的循环控制,通过对输入地址码的改变使不同输出端有效实现对灯的控制,再配合开关电路实现对汽车正常行驶和刹车时灯的闪烁情况控制,其中闪烁控制的CP脉冲由555定时器设计完成,而对于转弯时,用三进制计数器作为3-8译码器对尾灯进行循环点亮。
其总体框图如下。
图1.2汽车尾灯控制电路总框图两种方案都各自有特点,由于第一种方案的内容不是很熟悉,而第二种方案比较熟悉,实施起来也比较容易,所以选择第二种。
总体设计思路与构想:初步确定本次设计分为三个步骤进行:第一步设计出秒脉冲电路,第二步设计三进制电路,第三步控制开关的状态组合。
1.2.3汽车尾灯显示状态与汽车运行状态的关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关K1和K0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1.3所示。
表汽车尾灯和汽车运行状态结合以上设计分析与功能描述,在原假设设计思路和构想上,可得出汽车尾灯控制器的结构框图。
整个电路可由秒脉冲电路、开关控制电路、三进制电路、译码与显示驱动电路、尾灯状态显示5部分组成。
2 单元电路设计2.1 秒脉冲电路的设计2.1.1由555定时器构成的多谐振荡器由555定时器构成的多谐振荡器。
555定时器的管脚图如图3.1所示。
由于555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。
所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。
因此采用此方案。
图2.1 555定时器的引脚图。
图2.1 555定时器的引脚图由于本次课程设计对脉冲的要求不高,同时根据设计要求,只要设计出一个频率为1Hz的秒脉冲即可。
此时采用简单的由555构成的多谐振荡器,电路原理如图2.2所示。
图2.2用NE555制作脉冲发生器的原理图2.2 开关控制电路的设计设译码器与显示驱动电路的使能控制信号为G和F(U4的5端),G与译码器74LS138的使能输入端G1相连接,F与显示驱动电路中与非门的一个输入端相连接。
由总体逻辑功能可知,G和F与开关控制变量,K1、K0以及时钟脉冲CP 之间的关系如表2.3所示。
表2.3使能控制信号与开关控制变量、时钟脉冲的关系可画出开关控制电路,如图2.4所示图2.4开关控制电路2.3 三进制计数器电路的设计三进制计数器的状态表如表2.5所示。
表2.5三进制计数器的状态表2.3.1由J-K触发器构成的三进制计数器由于电路中只需采用一片双J-K触发器74LS76芯片即可(7476芯片引脚图如图2.6所示),因此电路结构简单,成本低,所以选用此方案。
图2.6为74LS76引脚图,利用74LS76实现三进制计数电路如图2.7所示。
图2.6 74LS76芯片引脚图图2.7三进制计数器2.4 译码与显示驱动电路的设计图中,译码器74LS138的输入端C、B、A分别接K1、Q1、Q0。
当图中G=F=0、K1=0时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D1、D2、D3对应的反相器输出依次为低电平,从而使指示灯D1、D2、D3依次顺序点亮,示意汽车左转弯;当图中G=F=0、K1=1时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D4、D5、D6对应的反相器输出依次为低电平,从而使指示灯D4、D5、D6依次顺序点亮,示意汽车右转弯;当图中G=0,F=0时,译码器输出为全1,使所有指示灯对应的反相器输出全部为高电平,指示灯全部熄灭;当图中G=0,F=cp时,所有指示灯随cp的频率闪烁。
实现了4种不同模式下的尾灯状态显示。
表2.9为74LS138译码器的功能真值表。
74LS138译码器接法如图2.10所示。
图2.8 74LS138译码器引脚图图2.10 74LS138控制译码显示电路2.5尾灯状态显示电路的设计尾灯状态显示电路可由6个发光二极管和1个电阻组成,图2.11中,当6个反相器的输出为低电平时,相应发光二极管被点亮。
图2.11二极管发光电位3 电路仿真与分析3.1 电路仿真总电路图图3.1汽车尾灯控制器电路原理图3.2 汽车尾灯控制器电路的工作原理其工作原理图如图3.1所示,经过以上所述的设计内容及要求的分析,图3.1汽车尾灯控制器电路原理图。
将电路分为以下几部分:首先,通过555定时器构成的多谐振荡器产生频率为1Hz的脉冲信号,该脉冲信号用于提供双J-K触发器时钟信号,构成三进制计数器,同时也提供开关控制电路中的二输入与非门的输入信号。
其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,Q1、Q2分别接到74LS138的A、B上,此信号提供左转、右转的原始信号,通过控制C端来实现左转、右转。
最后,左转、右转的原始信号输出的有效电平为低电平,所以直接接到发光二极管的负极,而且6个非门以及由控制端F和CP信号作为输入的74LS00提供的高低电位信号,同样接到左、右的3个汽车尾灯的负极。
发光二极管的正极经电阻接到电源,这样只要发光二级管负极输入低电平就发亮。
3.3 参数计算与器件选择电容:考虑到市场上电容值较少,本次课设中涉及到的电容直接给它定值,C1=0.47uF,C2=0.01uF。
电阻:秒脉冲部分的电阻:由于f为1Hz左右适合观察即可,根据公式:f=1.43/C(R1+2R2),所以选取R1=10kΩ,R2=1500kΩ,C1= 0..47μF。
发光二极管上拉电阻:由于红色发光二极管的压降为2V左右,而电源电压才5V。
为了使二极管发光强度达到要求,上拉电阻不能太大,大约1Ω左右。
其他电阻可选为10kΩ即可。
4 仿真结果图图4.1 SW1、SW2全部断开时,六个灯全部熄灭图4.2 SW1闭合,SW2断开时,D1、D2、D3左循环点亮图4.3 SW1断开,SW2闭合时,D4、D5、D6左循环点亮图4.4 SW1闭合,SW2闭合时,六盏灯全亮第5章总结这是我的第一次用数字电路和模拟电子电路设计电路图的课程设计,找到课题后,跑图书馆,上网查资料。
在图书馆找到了类似的资料,我想更了解这些这些门路,这样我就可以更好的应用它们,然后我有翻看我们以前学过的数字电子电路的书本。
然后接下来的事情就是做初步的电路设计。
通过此次课程设计,使我更加扎实的掌握了有关数字集成芯片应用方面的知识,在设计过程中虽然遇到了许多的问题,但经过一次又一次的讨论,一遍又一遍的思考终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。
实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。
过而能改,善莫大焉。
在课程设计过程中,我们不断发现错误,不断改正,不断领悟,不断获取。
最终的proteus仿真环节真的是出现了很多的小插曲。
首先是仿真图画出来了,可是运行的时候汽车的尾灯的控制状态没出来,后面经过老师的讲解,同学的帮忙,自己反复的修改,终于有了尾灯左转、右转、正常行驶时几种的控制状态。
虽然最后刹车时,尾灯控制没有达到良好的效果。
这次课程设计也终于有了收获,在设计中遇到了很多问题,最后在老师的指导下,终于迎刃而解。
在今后的学习生活中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题之所在,然后进行解决。
只有这样,才能志在必得,才能在今后的道路上劈荆斩棘,达到成功收获喜悦。
课程设计诚然是一门专业课,给我很多专业知识以及专业技能上的提升,也同时让我发现了自身的不足,填补了自己很多的知识漏洞。
通过这次课程设计,及其如何提高实际解决问题的能力和水平,掌握了自主查询资料,身体力行解决问题的能力。
也了解了所学课程在实际生活中的应用。
在此次课程设计中不仅培养了独立思考、动手操作的能力,其他各种其它能力上也都有了提高。
更重要的是。
这次课程设计是在吴德广老师的悉心指导下完成的,胡湘娟老师对课程设计的严谨。
在完成单片机课程设计的这段时间里,胡湘娟老师细心为我们解答我们在课程设计中遇到的问题,使我获取宝贵理论知识同时又在实践中不断提高自己。
由衷感谢我的同学,他们对本论文的各种有益建议和帮助,使我的课程设计在讨论中不断获得进展。
附录元器件清单表5.1元件清单。