DDR3 Webmina

合集下载

计算DDR3系统内存的功耗

计算DDR3系统内存的功耗

Technical NoteCalculating Memory System Power for DDR3IntroductionDDR3 SDRAM provides additional bandwidth over previous DDR and DDR2 SDRAM. Inaddition to the premium performance, DDR3 has a lower operating voltage range. Theresult can be a higher bandwidth performing system while consuming equal or lesssystem power. However, it is not always easy to determine the power consumptionwithin a system application from the data sheet specification.This technical note details how DDR3 SDRAM consumes power and provides the toolsthat system designers can use to estimate power consumption in any specific system. Inaddition to offering tools and techniques for calculating system power, Micron’s DDR3-1067 “Data Sheet Specifications” on page20 and a DDR3 Power Spreadsheet UsageExample on page20 are provided.Table1 describes the command abbreviations found in the following sections.Table 1: Abbreviation DefinitionsAbbreviation DefinitionACT ACTIVATEBL Burst lengthBC Burst chopPRE PRECHARGEODT On-die terminationRD READREF REFRESHWR WRITEDRAM OperationTo estimate the power consumption of a DDR3 SDRAM, it is necessary to understand thebasic functionality of the device (see Figure1 on page2). The operation of a DDR3device is similar to that of a DDR2. For both devices, the master operation of the DRAMis controlled by clock enable (CKE).If CKE is LOW, the input buffers are turned off. To allow the DRAM to receive commands,CKE must be HIGH, thus enabling the input buffers and propagates the command/address into the logic/decoders on the DRAM.During normal operation, the first command sent to the DRAM is typically an ACTcommand. This command selects a bank and row address. The data, which is stored inthe cells of the selected row, is then transferred from the array into the sense amplifiers.The portion of the DRAM consuming power in the ACT command is shown in blue andgold in Figure1 on page2.PDF: 09005aef829559ff/Source: 09005aef828dcdbf Micron Technology, Inc., reserves the right to change products or specifications without notice. TN41_01DDR3 Power.fm-Rev. B 8/07 EN©2007 Micron Technology, Inc. All rights reserved.Eight different array banks exist on the DDR3 SDRAM. Each bank contains its own set ofsense amplifiers and can be activated separately with a unique row address. When oneor more banks has data stored in the sense amplifiers, the DRAM is in the active state.The data remains in the sense amplifiers until a PRE command to the same bankrestores the data to the cells in the array. Every ACT command must have a PREcommand associated with it; that is, ACT and PRE commands occur in pairs unless aPRECHARGE ALL command is used.Figure 1: 1Gb DDR3 SDRAM Functional Block DiagramIn the active state, the DDR3 device can perform READs and WRITEs. A READ commanddecodes a specific column address associated with the data that is stored in the senseamplifiers (shown in green in Figure1). The data from this column is driven through theI/O, gating to the internal READ latch. From there, it is multiplexed onto the outputdrivers. The circuits used in this function are shown in purple in Figure1.The process for a WRITE is similar to the READ except the data propagates in the oppo-site direction. Data from the DQ pins is latched into the data receivers/registers and istransferred to the internal data drivers. The internal data drivers then transmit the datato the sense amplifiers through the I/O gating and into the decoded column addresslocation.DDR3, like DDR2, includes ODT on the data I/O pins. This feature is controlled by theODT pin and consumes additional power when activated. The ODT and the outputdriver on DDR3 includes additional mode register settings over previous DRAM toincrease system flexibility and to optimize signal integrity. This power needs to beincluded in total power calculations (see “I/O Termination Power” on page12).DRAM Power CalculatorsThe I DD values referenced in this article are taken from Micron’s preliminary 1Gb DDR3-1067 data sheet, and they are listed in “Data Sheet Specifications” on page20. While thevalues provided in data sheets may differ from between vendors and different devices,the concepts for calculating power are the same. It is important to verify all data sheetparameters before using the information in this article.Methodology OverviewThe following four steps are required to calculate system power:1.Calculate the power subcomponents from the data sheet specifications. (This calcula-tion is denoted as Pds(XXX), where XXX is the subcomponent power.)2.Derate the power based on the command scheduling in the system (Psch[XXX]).3.Derate the power to the system’s actual operating V DD and clock frequency(Psys[XXX]).4.Sum the subcomponents of the system’s operating conditions to calculate the totalpower consumed by the DRAM.Background PowerAs discussed previously, CKE is the master on-off switch for the DRAM. When CKE isLOW, most inputs are disabled. This is the lowest power state in which the device canoperate, and if all banks are precharged, it is specified in the data sheet as I DD2P. If anybank is open, the current consumed is I DD3P. I DD2P has two possible conditions,depending on whether mode register bit 12 is set for a slow or fast power-down exit time.The appropriate I DD2P value should be used for the power calculations based on howthe application sets this mode register.CKE must be taken HIGH to allow the DRAM to receive ACT, PRE, READ, and WRITEcommands. When CKE goes HIGH, commands start propagating through the DRAMcommand decoders, and the activity increases the power consumption. The currentconsumed is specified in the data sheet as I DD2N if all banks are precharged or I DD3N ifany bank is active.Figure2 on page4 shows the typical current usage of a DDR3 device when CKE transi-tions, assuming all banks are precharged. When CKE is HIGH, the device draws amaximum I DD2N of 65mA of current; when CKE goes LOW, that figures drops to anI DD2P of ~10–25mA, depending on how slow or how fast the power-down exit time is. Allof these values assume the DRAM is in the precharged state. Similarly, if the device is inthe active state, it consumes I DD3P current in power-down (CKE = LOW) and I DD3Ncurrent in standby (CKE = HIGH).Figure 2: Effects of CKE on I DD ConsumptionCalculation of the power consumed by a DDR3 device operating in these standby condi-tions is easily completed by multiplying the I DD and the voltage applied to the device,V DD.Pds(PRE_PDN) = I DD2P × V DD(Eq. 1) Pds(PRE_STBY) = I DD2N × V DD(Eq. 2) Pds(ACT_PDN) = I DD3P × V DD(Eq. 3) Pds(ACT_STBY) = I DD3N × V DD(Eq. 4) The data sheet specification for all I DD values is taken at the worst-case V DD, which is1.575V for DDR3. The calculations for maximum DDR3 standby powers using theassumptions in “Data Sheet Specifications” are as follows:Pds(PRE_PDN) = 25mA × 1.575VPds(PRE_PDN) = 39mW(Eq. 5) Pds(PRE_STBY) = 65mA × 1.575VPds(PRE_STBY) = 102mW(Eq. 6) Pds(ACT_PDN) = 45mA × 1.575VPds(ACT_PDN) = 71mW(Eq. 7) Pds(ACT_STBY) = 75mA × 1.575VPds(ACT_STBY) = 118mW(Eq. 8) Note:I DD2P in the above equations assumes MR[12] = 0.During normal operation, the DRAM always consumes background power. This back-ground power can be in one of the four categories above. Therefore, the total averagebackground power is a ratio of these four individual powers. This ratio is determined bythe percentage of time the DRAM is precharged (all of the banks are precharged) oractive (one or more banks are open). Additionally, the percent of time that CKE is LOWor HIGH during each of the conditions determines the ratio between the standby andthe power-down conditions. To complete these ratios, three parameters are required asshown in Table2.Table 2: DDR3 Background Power ComponentsComponent DescriptionBNK_PRE%Percentage of time all banks are prechargedCKE_LO_PRE%Percentage bank precharge time (BNK_PRE%) when CKE is LOWCKE_LO_ACT%Percentage bank active time (100% - BNK_PRE%) when CKE is LOWEquation 9 is used to ratio the data sheet background powers to the specific systemusage conditions based on CKE HIGH/LOW times. Note that these numbers cover 100percent of the normal device operating time.Psch(PRE_PDN) = Pds(PRE_PDN) × BNK_PRE% × CKE_LO_PRE%Psch(PRE_STBY) = Pds(PRE_STBY) × BNK_PRE% × [1 – CKE_LO_PRE%]Psch(ACT_PDN) = Pds(ACT_PDN) × [1 – BNK_PRE%] × CKE_LO_ACT%Psch(ACT_STBY) = Pds(ACT_STBY) × [1 – BNK_PRE%] × [1 – CKE_LO_ACT%](Eq. 9) Activate PowerTo allow a DDR3 SDRAM to READ or WRITE data, a bank and row must first be selectedusing an ACT command. For every ACT command, there is a corresponding PREcommand. The ACT command opens a row, and the PRE closes the row.Figure3 on page6 illustrates a typical current profile for I DD0. Following an ACTcommand, the device uses a significant amount of current to decode the command/address and then transfer the data from the DRAM array to the sense amplifiers. Whenthis is complete, the DRAM is maintained in an active state until a PRE command isissued. The PRE command restores the data from the sense amplifiers into the memoryarray and resets the bank for the next ACT command. This leaves the bank in itsprecharged state.Figure 3: I DDcific DDR3 DRAM device.The data sheet specifies I DD 0 averaged over time with the interval between ACTcommands being t RC. This is represented by the blue line in Figure 3. During this opera-tion, a background current, shown in orange, is always consumed (I DD 3N when the row is active and I DD2N when the row is precharged). This background current must be subtracted from I DD 0 to identify the power consumed due to the ACT and PRE commands. This is shown in Equation 10, where I DD 3N is subtracted from I DD 0 during the row active time (t RAS) and I DD 2N is subtracted during the remaining time.(Eq. 10)Equation 10 provides the maximum power consumed only if the DRAM is used at MIN tRC cycle time as specified in the data sheet. This is noted as Pds(ACT), meaning “power under data sheet conditions.” However, most systems do not operate in this manner. Fortunately, it is easy to scale the ACT power for other modes of operation. The scaling factor is represented as t RRDscheduled (t RRDsch), which is the average scheduled row-to-row activate timing. Two examples of scaling activate power with different command spacings are shown. One example is when t RRDsch > t RC, and a second when the device is in bank interleave mode.Figure 4: ACT -ACT Current with t RRDsch = 65.625nsIn Figure 4, the average ACT-ACT cycle time is greater than the specified t RC = 50.625ns. t RRDsch is stretched to 35 clock cycles, which is 65.625ns for a 533 MHz clock.The active power can easily be scaled as the ratio of the actual t RRDsch value to the data sheet t RC condition. The calculation is as follows:(Eq. 11)Therefore, by changing the ACT-ACT time from 50.625ns to 65.625ns, the maximum acti-vation power, Psch(ACT), drops from 63mW to 49mW. Note that this power is only the activation power and does not include the background power contributed by I DD 2N and I DD 3N.Because a DDR3 device has multiple banks, it is possible to have several open rows at one time. Therefore, it is also possible to have ACT commands closer together than t RC. Figure 5 on page 8 shows an example in which two banks are interleaved within 50.625ns, making the average t RRDsch = 25.3ns. Because t RRDsch is an average, it does not matter that some commands are spaced 7.5ns apart while others are 43.125ns apart (see Figure 5). The yellow current profile represents the first bank activated and includes the I DD 3N component. This is only included in one instance on the device, even if other banks are open. The purple current profile, which represents the second bank activated, shows only the additional current introduced due to the second bank activated. The green curve represents the sum of the two banks.Figure 5: ACT-ACT Current for t RRDsch = 25.3nsThe calculation to determine the power consumption for the activation power is thesame as before:(Eq. 12) The maximum Psch(ACT) for two interleaved banks increases from 63mW to 126mWbecause twice the amount of ACT and PRE power is consumed when operating twobanks compared to one.With this basic equation, the ACT-PRE power can be calculated for any usage condition,from eight interleaved banks to one bank that is seldom opened.Write PowerAfter a bank is open, data can be either read from or written to the DDR3 SDRAM. Thetwo cases are similar. Figure6 on page9 illustrates an example of two WRITE commandsutilizing BL = 8 operation.Figure 6: Current Profile – WRITEsWhen several WRITEs are added between ACT commands, the consumption of currentassociated with the WRITE is I DD4W. To identify the power associated with only theWRITEs and not the standby current, I DD3N must be subtracted. The calculation for thedata sheet write component of power, Pds(WR), is shown in Equation 13.Pds(WR) = (I DD4W - I DD3N) × V DDPds(WR) = (240mA - 75mA) × 1.575VPds(WR) = 260mW(Eq. 13) To scale the data sheet power to actual power based on command scheduling, it must becalculated as a ratio of the write bandwidth. This is noted as WRsch%, which is the totalnumber of clock cycles that write data is on the bus (not WRITE commands) versus thetotal number of clock cycles. The WRsch% calculation for the example show in Figure6is shown in Equation 14.(Eq. 14)When the ratio of WRITEs is known, the power associated with the scheduled WRITEs,Psch(WR), can be easily calculated from the data sheet write power, as shown in Equa-tion 15.The data sheet conditions specify I DD4W with a BL = 8. DDR3 devices may also operatewith a BC = 4. However, internally the DDR3 DRAM continues operate as if it were doingBL = 8WRITEs and masks off the last four data bits. Therefore, if a WRITE using BC = 4 iscompleted, it will require approximately the same amount of power as a WRITE withBL=8(four clock cycles). The multiplication of the (8/BL) at the end of the equationadjusts for this difference in burst length.Psch(WR) = Pds(WR) × WRsch%Psch(WR) = 260mW × 22%Psch(WR) = 57mW(Eq. 15) Read PowerThe power required to read data is similar to that needed to write data, as shown inFigure7. A row is opened with an ACT command, and then a set of two BL=8READs iscompleted from columns in that row. After the READs are complete, the row is closedwith a PRE command and the sequence is restarted.Figure 7: Current Profile – READsThe read current profile looks very similar to the write current profile. The average current is calculated exactly the same as in the write case, except I DD4R is substituted for I DD4W.Pds(RD) = (I DD4R - I DD3N) × V DDPds(RD) = (220mW - 75mA) × 1.575VPds(RD) = 228mW(Eq. 16) To scale the data sheet power to actual power based on command scheduling, it must be calculated as a ratio of the read bandwidth. This is denoted as RDsch%, which is the total number clock cycles containing read data (not READ commands) that are on the data bus versus the total number of clock cycles. The RDsch% calculation is shown in Equa-tion 15.(Eq. 17) After the ratio of READs is known, the power associated with the scheduled READs, Psch(RD), can be easily calculated from the data sheet read power in Equation 18.Psch(RD) = Pds(RD) × RDsch%Psch(RD) = 228mW × 25%Psch(RD) = 57mW(Eq. 18)I/O Termination PowerPsch(RD) and Psch(WR) are only part of the total power for read and write sequences. Data sheet specifications do not include output driver power or ODT power. These powers are system-dependent and must be calculated for each system.DDR3 systems can vary greatly depending on the application’s density and form factor requirements. A typical small density system is shown in Figure 8. The data bus connects the controller to two DDR3 DRAM. Additionally, the controller and the DRAM utilize ODT for the data lines so no external passive components are required for this example system.Figure 8:Typical System DQ TerminationThe drivers in the system have an impedance of R ON which pulls the bus towards V DD Q for a “1” or V SS Q for a “0”. The termination on the die is functionally a pull-up resistor and a pull-down resistor where R TTPU = R TTPD = 2 x R TT . R TT is the selected Thevenin equivalent termination value selected for the device.A simple termination scheme for the example system is shown in Table 3 on page 12. Because this is a point-to-two-point system, all output drivers are set to 34Ω and termi-nations are set.Two methods can be used to calculate the power consumed by the output driver and ODT. One is to simulate the system data bus using SPICE models of the components and then average the power consumed over a sufficiently long pattern of pseudo-random data. A simpler method, however, is to calculate the DC power of the output driver against the termination. This is usually not worst-case, but it provides a first-order approximation of the output power.Table 3:Termination ConfigurationController DRAM 1DRAM 2R ONR TT R ON R TT R ON R TT WRITEs to DRAM 134ΩOff Off 120ΩOff 30ΩREADs from DRAM 1Off 75Ω34ΩOff Off 30ΩWRITEs to DRAM 234ΩOff Off 30ΩOff 120ΩREADs from DRAM 2Off75ΩOffOff34ΩOffThe I/O powers that must be calculated are:•PdqRD: The output driver power when driving the bus•PdqWR: The termination power when terminating a WRITE to the DRAM•PdqRDoth: The termination power when terminating a READ from another DRAM•PdqWRoth: The termination power when terminating write data to another DRAMThe nominal DRAM I/O termination DC power for the memory system can be calcu-lated using Thevenin equivalent circuits (see Figure9 and Figure10). The resultant I/Otermination DC power values for the DRAM, per I/O pin, are listed in Table4 on page14.The controller and board series termination powers are not accounted for in the DRAMI/O termination power values even though they are shown for reference.Figure 9: DRAM READFigure 10: DRAM WRITETable 4: Nominal I/O Termination Power ConsumptionDC PowerDRAM 1DRAM 2DRAM READ pdqRD = 3.2mW/DQ pdqRDoth = 24.9mW/DQDRAM WRITE pdqWR = 5.6mW/DQ pdqWRoth = 20.8mW/DQTo calculate the power for output or termination on the DRAM, the power per DQ mustbe multiplied by the number of DQ and strobes on the device (num_DQR). For writetermination, data masks must also be included in the sum of the total number of writesignals that must be terminated (num_DQW). This will vary depending on data width ofthe DRAM.Equation 19 on page 14 calculates the DRAM power for the following four I/O bufferoperations:•Pds(DQ): DRAM output driver power when driving the bus•Pds(termW): DRAM termination power when terminating a WRITE to the DRAM•Pds(termRoth): DRAM termination power when terminating a READ from anotherDRAM•PPds(termWoth): DRAM termination power when terminating write data to anotherDRAMPds(DQ) = Pdq(RD) × num_DQRPds(termW) = Pdq(WR) × num_DQWPds(termRoth) = Pdq(RDoth) × num_DQRPds(termWoth) = Pdq(WRoth) × num_DQW(Eq. 19) To illustrate how the power is calculated, an assumption using a x8 device is shown. Forthis example, num_DQR includes eight DQ and two DQS signals for a total of ten,whereas num_DQW totals 11 to account for the addition of the data mask. The DCpower values from Table4 on page14 are also used, and the results are presented inEquation 20.Pds(DQ) = 5.3mW × 10 = 53mWPds(termW) = 0mW × 11 = 0mWPds(termRoth) = 0mW × 10 = 131mWPds(termWoth) = 13.2mW × 11 = 145mW(Eq. 20) To complete the I/O and termination power calculation, the 100 percent usage datasheet specification must be derated based on the data bus utilization. The read and writeutilization has already been provided as RDschd% and WRschd%. Two additional termsare required to cover the termination case for data to/from another DRAM. These aretermRDsch% (terminating read data from another DRAM) and termWRsch% (termi-nating write data to another DRAM). The power based on command scheduling is thencalculated as:(Eq. 21)Sample calculations showing how to determine the output and termination percentages are provided in “DDR3 Power Spreadsheet Usage Example” on page 20.Refresh PowerRefresh is the final power component that must be calculated for the device to retain data integrity. DDR3 memory cells store data information in small capacitors that lose their charge over time and must be recharged. The process of recharging these cells is called refresh.The specification for refresh in the DDR3 data sheet is I DD 5. I DD 5 assumes the DRAM is operating continuously at minimum REFRESH-to-REFRESH command spacing, tRFC (MIN). During this operation, the DRAM is also consuming I DD 3N standby current. Thus, to calculate only the power due to refresh, I DD 3N must be subtracted, as shown in Equation 22.(Eq. 22)However, REFRESH operations are typically distributed evenly over time at a refresh interval of t REFI. Thus, the scheduled refresh power, Psch(REF), is the ratio of t RFC (MIN) to t REFI, multiplied by Pds(REF), as shown in Equation 23.(Eq. 23)Power DeratingThus far, the power calculations have assumed a system operating at worst-case V DD . They have also assumed the clock frequency in the system is the same as the frequency defined in the data sheet. The resulting power is denoted as Psch(XXX). Most systems, however, operate at different voltages or clock frequencies than the ones defined in the data sheet. Each of the power components must be derated to the actual system condi-tions, with the resulting power denoted as Psys(XXX).Psch(DQ) = Pds(DQ) × RDsch%Psch(termW) = Pds(termW) × WRsch%Psch(termRoth) = Pds(termRoth) × termRDsch Psch(termWoth) = Pds(termWoth) × termWRschPds(REF) = (255mA - 75mA) × 1.575V Pds(REF) = 284mWPds(REF) = (I DD 5 - I DD 3N) × V DDThe following section explains how to derate each of the power components to an actual system.Voltage Supply ScalingMost applications operate near the nominal V DD , not at the absolute maximum V DD . The only power parameters that do not scale with V DD are the data I/O and termination power because the system V DD is already assumed when the initial power is calculated. On DRAM, power is typically related to the square of the voltage. This is because most of the power is dissipated by capacitance, with P = CV 2f where C = internal capacitance, V = supply voltage, and f = frequency of the clock or command (see “Frequency Scaling” on page 16). Thus, to scale power to a different supply voltage:(Eq. 24)Frequency ScalingMany power components, such as Psch(ACT_PDN), Psch(ACT_STBY), Psch(PRE_STBY), Psch(WR), and Psch(RD), are dependent on the clock frequency at which a device oper-ates. Psch(PRE_PDN) is dependent on a slow or fast exit time. If a fast exit time is selected, the power will scale with frequency. However, if a slow exit time is selected, there is no scaling because the clock is disabled during power-down mode.Similarly, Psch(REF) does not scale with clock frequency, and Psch(ACT) is dependent on the interval between ACT commands, rather than clock frequency.The power for components dependent on an operating frequency can be scaled for actual operating frequency:(Eq. 25)The freq_used is the actual clock frequency at which a device operates in the system. The spec_freq is the clock frequency at which the device was tested during the I DD tests. This information is provided in the test condition notes in a data sheet. The test condition notes also describe tests at the minimum clock rate for a specific CAS latency, and that value is specified under the t CK parameter.The combination of all V DD and clock frequency scaling is presented in Equation 26.(Eq. 26)Calculating Total DRAM PowerThe tools are now in place to calculate the system power for any usage condition. Thelast task is to put them together. The various system power subcomponents are summedtogether, as shown in Equation 27.Psys(TOT) =Psys(PRE_PDN) + Psys(PRE_STBY)+ Psys(ACT_PDN) + Psys(ACT_STBY) + Psys(WR)+ Psys(RD) + Psys(REF) + Psys(DQ)+ Psys(termW) + Psys(termRoth) + Psys(termWoth)(Eq. 27) Having compensated for all primary variables that can affect device power, the totalpower dissipation of a DDR3 device operating under specific system usage conditionshas now been calculated.DDR3 Power SpreadsheetCalculating all of these equations by hand can be tedious. For this reason, Micron haspublished an online worksheet to simplify the process. Micron’s DDR3 SDRAM System-Power Calculator, as well as detailed instructions for its use, are available on Micron’sWeb site at /systemcalc. An example of using the system-power calcu-lator is provided in “DDR3 Power Spreadsheet Usage Example” on page20.To utilize the online spreadsheet, enter the device data sheet conditions on the “DDR3Spec” tab. Starting values are provided, but it is important to verify all data sheet param-eters prior to using the spreadsheet. Note that multiple speed bins and DRAM densitiesare included and that correct inputs are required for each column utilized.After the data sheet values are entered, the actual DRAM configuration to be used for thepower calculations is selected on the “DDR3 Config” tab, as shown in Figure11. Thedensity, I/O configuration, and speed grade are selected with pull-down menus. In addi-tion, the mode register configuration is selected for the differential strobe, TDQS, andPD exit mode. These inputs correctly configure the calculator for a specific DRAM basedon the data input on the “DDR3 Spec” worksheet.Figure 11: Spreadsheet – DRAM Configuration TabAfter the DRAM configuration has been selected, the system operating conditions areinput on the “System Config” tab, as shown in Figure12 on page19. The actual systemoperating V DD and clock frequency are entered. Output power consumption and busutilization are also entered, along with CKE conditions.Figure 12: Spreadsheet – System Configuration TabTo assist calculating t RRDsch, one new parameter is added to this table which has notbeen previously discussed. This parameter is the PageHit% rate. The PageHit% is thepercentage of READ and WRITE commands executed to an open row that has alreadybeen read from or written to previously divided by the total number of READ and WRITEcommands. The PageHit% is application/system dependent. Desktop and notebookapplications tend to have a high PageHit% while server and networking applicationstend to have a very low PageHit%.The PageHit% is used to calculated t RRDsch, as shown in Equation 28.(Eq. 28) After all the inputs are entered, the actual DRAM device power derated to the systemconditions can be found on the “Summary” tab. Note that the interim power calcula-tions for data sheet power and scheduled power can also be found on the “Power Calcs”worksheet.。

web服务器硬件配置方案

web服务器硬件配置方案

WEB 服务器硬件配置方案一、入门级常规服务器硬配置方案:备注:作为WEB服务器,首先要保证不间断电源,机房要控制好相对温度和湿度。

这里有额外配置的UPS不间断电源和稳压器,此服务器配置能胜基本的WEB请求服务,如大量的数据交换,文件读写,可能会存在带宽瓶颈。

二、顶级服务器配置方案备注:1,系统支持Windows Server 2003 R2 Enterprise Edition、Windows Server 2003 R2 Web Edition、Windows Server 2003 R2 x64 Enterprise Edition、Windows Server 2003 R2 x64 Standard Edition、Windows Storage Server 2003 R2 Workgroup Edition2,工作环境:相对工作温度10℃-35℃,相对工作湿度20%-80% 无冷凝,相对存储温度-40℃-65℃,相对湿度5%-95% 无冷凝3,以上配置为统一硬件配置,为DELL系列服务器标准配置,参考价位¥13000WEB 服务器软件配置和安全配置方案一、系统的安装1、按照Windows2003安装光盘的提示安装,默认情况下2003没有把IIS6.0安装在系统里面。

2、IIS6.0的安装开始菜单—>控制面板—>添加或删除程序—>添加/删除Windows组件应用程序———(可选)|——启用网络COM+ 访问(必选)|——Internet 信息服务(IIS)———Internet 信息服务管理器(必选)|——公用文件(必选)|——万维网服务———Active Server pages(必选)|——Internet 数据连接器(可选)|——WebDAV 发布(可选)|——万维网服务(必选)|——在服务器端的包含文件(可选)然后点击确定—>下一步安装。

3、系统补丁的更新点击开始菜单—>所有程序—>Windows Update按照提示进行补丁的安装。

MT41K128M16JT-125K Micron DDR3

MT41K128M16JT-125K  Micron DDR3
Features
• VDD = VDDQ = 1.35V (1.283–1.45V) • Backward-compatible to VDD = VDDQ = 1.5V ±0.075V • Differential bidirectional data strobe • 8n-bit prefetch architecture • Differential clock inputs (CK, CK#) • 8 internal banks • Nominal and dynamic on-die termination (ODT)
A4
VSS
A11
A6
VDD
A14
A8
VSS
Notes:
1. Ball descriptions listed in Table 3 (page 5) are listed as “x4, x8” if unique; otherwise, x4 and x8 are the same.
2. A comma separates the configuration; a slash defines a selectable function. Example: D7 = NF, NF/TDQS#. NF applies to the x4 configuration only. NF/TDQS# applies to the x8 configuration only—selectable between NF or TDQS# via MRS (symbols are defined in Table 3).
Micron Technology, Inc. reserves the right to change products or specifications without notice. © 2010 Micron Technology, Inc. All rights reserved.

DDR3的TESTBENCH verilog测试代码

DDR3的TESTBENCH verilog测试代码

/****************************************************************************** ************ File Name: tb.v*`timescale 1ps / 1psmodule tb;`include "ddr3_parameters.vh"// portsreg rst_n;reg ck;wire ck_n = ~ck;reg cke;reg cs_n;reg ras_n;reg cas_n;reg we_n;reg [BA_BITS-1:0] ba;reg [ADDR_BITS-1:0] a;wire [DM_BITS-1:0] dm;wire [DQ_BITS-1:0] dq;wire [DQS_BITS-1:0] dqs;wire [DQS_BITS-1:0] dqs_n;wire [DQS_BITS-1:0] tdqs_n;wire odt;// mode registersreg [ADDR_BITS-1:0] mode_reg0; //Mode Registerreg [ADDR_BITS-1:0] mode_reg1; //Extended Mode Registerreg [ADDR_BITS-1:0] mode_reg2; //Extended Mode Register 2wire [3:0] cl = {mode_reg0[2], mode_reg0[6:4]} + 4; //CAS Latencywire bo = mode_reg0[3]; //Burst Orderreg [3:0] bl; //Burst Lengthwire [3:0] cwl = mode_reg2[5:3] + 5; //CAS Write Latencywire [3:0] al = (mode_reg1[4:3] === 2'b00) ? 4'h0 : cl - mode_reg1[4:3]; //Additive Latencywire [4:0] rl = cl + al; //Read Latencywire [4:0] wl = cwl + al; //Write Latency// dq transmitreg dq_en;reg [DM_BITS-1:0] dm_out;reg [DQ_BITS-1:0] dq_out;reg dqs_en;reg [DQS_BITS-1:0] dqs_out;assign dm = dq_en ? dm_out : {DM_BITS{1'bz}};assign dq = dq_en ? dq_out : {DQ_BITS{1'bz}};assign dqs = dqs_en ? dqs_out : {DQS_BITS{1'bz}};assign dqs_n = dqs_en ? ~dqs_out : {DQS_BITS{1'bz}};// dq receivereg [DM_BITS-1:0] dm_fifo [4*CL_MAX+BL_MAX+2:0];reg [DQ_BITS-1:0] dq_fifo [4*CL_MAX+BL_MAX+2:0];wire [DQ_BITS-1:0] q0, q1, q2, q3;reg ptr_rst_n;reg [1:0] burst_cntr;// odtreg odt_out;reg [(AL_MAX+CL_MAX):0] odt_fifo;assign odt = odt_out & !odt_fifo[0];// timing definition in tCK unitsreal tck;wire [11:0] tccd = TCCD;wire [11:0] tcke = max(ceil(TCKE/tck), TCKE_TCK);wire [11:0] tckesr = TCKESR_TCK;wire [11:0] tcksre = max(ceil(TCKSRE/tck), TCKSRE_TCK);wire [11:0] tcksrx = max(ceil(TCKSRX/tck), TCKSRX_TCK);wire [11:0] tcl_min = min_cl(tck);wire [6:2] mr_cl = (tcl_min - 4)<<2 | (tcl_min/12);wire [11:0] tcpded = TCPDED;wire [11:0] tcwl_min = min_cwl(tck);wire [5:3] mr_cwl = tcwl_min - 5;wire [11:0] tdllk = TDLLK;wire [11:0] tfaw = ceil(TFAW/tck);wire [11:0] tmod = max(ceil(TMOD/tck), TMOD_TCK);wire [11:0] tmrd = TMRD;wire [11:0] tras = ceil(TRAS_MIN/tck);wire [11:0] trc = ceil(TRC/tck);wire [11:0] trcd = ceil(TRCD/tck);wire [11:0] trfc = ceil(TRFC_MIN/tck);wire [11:0] trp = ceil(TRP/tck);wire [11:0] trrd = max(ceil(TRRD/tck), TRRD_TCK);wire [11:0] trtp = max(ceil(TRTP/tck), TRTP_TCK);wire [11:0] twr = ceil(TWR/tck);wire [11:0] twtr = max(ceil(TWTR/tck), TWTR_TCK);wire [11:0] txp = max(ceil(TXP/tck), TXP_TCK);wire [11:0] txpdll = max(ceil(TXPDLL/tck), TXPDLL_TCK);wire [11:0] txpr = max(ceil(TXPR/tck), TXPR_TCK);wire [11:0] txs = max(ceil(TXS/tck), TXS_TCK);wire [11:0] txsdll = TXSDLL;wire [11:0] tzqcs = TZQCS;wire [11:0] tzqoper = TZQOPER;wire [11:0] wr = (twr < 8) ? twr : twr + twr%2;wire [11:9] mr_wr = (twr < 8) ? (twr - 4) : twr>>1;`ifdef TRUEBL4wire [11:0] tccd_dg = TCCD_DG;wire [11:0] trrd_dg = max(ceil(TRRD_DG/tck), TRRD_DG_TCK);wire [11:0] twtr_dg = max(ceil(TWTR_DG/tck), TWTR_DG_TCK); `endifinitial begin$timeformat (-9, 1, " ns", 1);`ifdef periodtck <= `period;`elsetck <= ceil(TCK_MIN);`endifck <= 1'b1;odt_fifo <= 0;end// component instantiationddr3 sdramddr3 (rst_n,ck,ck_n,cke,cs_n,ras_n,cas_n,we_n,dm,ba,a,dq,dqs,dqs_n,tdqs_n,odt);// clock generatoralways @(posedge ck) beginck <= #(tck/2) 1'b0;ck <= #(tck) 1'b1;endfunction integer ceil;input number;real number;if (number > $rtoi(number))ceil = $rtoi(number) + 1;elseceil = number; endfunctionfunction integer max;input arg1;input arg2;integer arg1;integer arg2;if (arg1 > arg2)max = arg1;elsemax = arg2; endfunctiontask power_up;beginrst_n <= 1'b0;cke <= 1'b0;cs_n <= 1'b1;odt_out <= 1'b0;# (10000); // CKE must be LOW 10ns prior to RST# transitioning HIGH.@ (negedge ck) rst_n = 1'b1;# (10000) // After RST# transitions HIGH, wait 500us (minus one clock) with CKE LOW. (wait 10 ns instead of 500 us)@ (negedge ck) nop(TXPR/tck + 1); // After CKE is registered HIGH and after tXPR has been satisfied, MRS commands may be issued.endendtasktask load_mode;input [BA_BITS-1:0] bank;input [ADDR_BITS-1:0] addr;begincase (bank)0: mode_reg0 = addr;1: mode_reg1 = addr;2: mode_reg2 = addr;endcasecke <= 1'b1;cs_n <= 1'b0;ras_n <= 1'b0;cas_n <= 1'b0;we_n <= 1'b0;ba <= bank;a <= addr;@(negedge ck);endendtasktask refresh;begincke <= 1'b1;cs_n <= 1'b0;ras_n <= 1'b0;cas_n <= 1'b0;we_n <= 1'b1;@(negedge ck);endendtasktask precharge;input [BA_BITS-1:0] bank;input ap; //precharge allbegincke <= 1'b1;cs_n <= 1'b0;ras_n <= 1'b0;cas_n <= 1'b1;we_n <= 1'b0;ba <= bank;a <= (ap<<10);@(negedge ck);endendtasktask activate;input [BA_BITS-1:0] bank;input [ROW_BITS-1:0] row;begincke <= 1'b1;cs_n <= 1'b0;ras_n <= 1'b0;cas_n <= 1'b1;we_n <= 1'b1;ba <= bank;a <= row;@(negedge ck);endendtask//write task supports burst lengths <= 8task write;input [BA_BITS-1:0] bank;input [COL_BITS-1:0] col;input ap; //Auto Precharge input bc; //Burst Chopinput [8*DM_BITS-1:0] dm;input [8*DQ_BITS-1:0] dq;reg [ADDR_BITS-1:0] atemp [2:0];integer i;begincke <= 1'b1;cs_n <= 1'b0;ras_n <= 1'b1;cas_n <= 1'b0;we_n <= 1'b0;atemp[0] = col & 10'h3ff; //a[ 9: 0] = COL[ 9: 0]atemp[1] = ((col>>10) & 1'h1)<<11;//a[ 11] = COL[ 10]atemp[2] = (col>>11)<<13; //a[ N:13] = COL[ N:11]a <= atemp[0] | atemp[1] | atemp[2] | (ap<<10) | (bc<<12);casex ({bc, mode_reg0[1:0]})3'bx00, 3'b101:bl=8;3'bx1x, 3'b001:bl=4;endcasedqs_en <= #(wl*tck-tck/2) 1'b1;dqs_out <= #(wl*tck-tck/2) {DQS_BITS{1'b1}};for (i=0; i<=bl; i=i+1) begindqs_en <= #(wl*tck + i*tck/2) 1'b1;if (i%2 == 0) begindqs_out <= #(wl*tck + i*tck/2) {DQS_BITS{1'b0}};end else begindqs_out <= #(wl*tck + i*tck/2) {DQS_BITS{1'b1}};enddq_en <= #(wl*tck + i*tck/2 + tck/4) 1'b1;dm_out <= #(wl*tck + i*tck/2 + tck/4) dm>>i*DM_BITS;dq_out <= #(wl*tck + i*tck/2 + tck/4) dq>>i*DQ_BITS;enddqs_en <= #(wl*tck + bl*tck/2 + tck/2) 1'b0;dq_en <= #(wl*tck + bl*tck/2 + tck/4) 1'b0;@(negedge ck);endendtask// read without data verificationtask read;input [BA_BITS-1:0] bank;input [COL_BITS-1:0] col;input ap; //Auto Prechargeinput bc; //Burst Chopreg [ADDR_BITS-1:0] atemp [2:0];integer i;begincke <= 1'b1;cs_n <= 1'b0;ras_n <= 1'b1;we_n <= 1'b1;ba <= bank;atemp[0] = col & 10'h3ff; //a[ 9: 0] = COL[ 9: 0]atemp[1] = ((col>>10) & 1'h1)<<11;//a[ 11] = COL[ 10]atemp[2] = (col>>11)<<13; //a[ N:13] = COL[ N:11]a <= atemp[0] | atemp[1] | atemp[2] | (ap<<10) | (bc<<12);casex ({bc, mode_reg0[1:0]})3'bx00, 3'b101:bl=8;3'bx1x, 3'b001:bl=4;endcasefor (i=0; i<(bl/2 + 2); i=i+1) beginodt_fifo[rl-wl + i] = 1'b1;end@(negedge ck);endendtasktask zq_calibration;input long;begincke <= 1'b1;cs_n <= 1'b0;ras_n <= 1'b1;cas_n <= 1'b1;we_n <= 1'b0;ba <= 0;a <= long<<10;@(negedge ck);endendtasktask nop;input [31:0] count;begincke <= 1'b1;cs_n <= 1'b0;ras_n <= 1'b1;cas_n <= 1'b1;we_n <= 1'b1;repeat(count) @(negedge ck);endtask deselect;input [31:0] count;begincke <= 1'b1;cs_n <= 1'b1;ras_n <= 1'b1;cas_n <= 1'b1;we_n <= 1'b1;repeat(count) @(negedge ck);endendtasktask power_down;input [31:0] count;begincke <= 1'b0;cs_n <= 1'b1;ras_n <= 1'b1;cas_n <= 1'b1;we_n <= 1'b1;repeat(count) @(negedge ck);endendtasktask self_refresh;input [31:0] count;begincke <= 1'b0;cs_n <= 1'b0;ras_n <= 1'b0;cas_n <= 1'b0;we_n <= 1'b1;cs_n <= #(tck) 1'b1;ras_n <= #(tck) 1'b1;cas_n <= #(tck) 1'b1;we_n <= #(tck) 1'b1;repeat(count) @(negedge ck);endendtasktask pd_change_period;input [31:0] new_period;$display ("%m at time %t: INFO: Changing Clock Period to %08.3f ps", $time, new_period);power_down (tcksre+1);tck <= new_period;@(posedge ck);@(negedge ck);repeat(tcksrx) @(negedge ck);endendtasktask sr_change_period;input [31:0] new_period;begin$display ("%m at time %t: INFO: Changing Clock Period to %08.3f ps", $time, new_period);self_refresh (tcksre+1);tck <= new_period;@(posedge ck);@(negedge ck);repeat(tcksrx) @(negedge ck);endendtask// read with data verificationtask read_verify;input [BA_BITS-1:0] bank;input [COL_BITS-1:0] col;input ap; //Auto Prechargeinput bc; //Burst Chopinput [8*DM_BITS-1:0] dm; //Expected Data Maskinput [8*DQ_BITS-1:0] dq; //Expected Datainteger i, j;beginread (bank, col, ap, bc);for (i=0; i<bl; i=i+1) beginj = (col ^ i)%bl;if (!bo) beginj = (j & -4) + ((col + i) & 3);enddm_fifo[2*rl + i] = dm>>(i*DM_BITS);dq_fifo[2*rl + i] = dq>>(i*DQ_BITS);endendendtask// receiver(s) for data_verify processdqrx dqrx[DQS_BITS-1:0] (ptr_rst_n, dqs, dq, q0, q1, q2, q3);// perform data verification as a result of read_verify task callalways @(ck) begin:data_verifyinteger i;integer j;reg [DQ_BITS-1:0] bit_mask;reg [DM_BITS-1:0] dm_temp;reg [DQ_BITS-1:0] dq_temp;for (i = !ck; (i < 2/(2.0 - !ck)); i=i+1) beginif (dm_fifo[i] === {DM_BITS{1'bx}}) beginburst_cntr = 0;end else begindm_temp = dm_fifo[i];for (j=0; j<DQ_BITS; j=j+1) beginbit_mask[j] = !dm_temp[j/(DQ_BITS/DM_BITS)];endcase (burst_cntr)0: dq_temp = q0;1: dq_temp = q1;2: dq_temp = q2;3: dq_temp = q3;endcase//if (((dq_temp & bit_mask) === (dq_fifo[i] & bit_mask)))// $display ("%m at time %t: INFO: Successful read data compare. Expected = %h, Actual = %h, Mask = %h, i = %d", $time, dq_fifo[i], dq_temp, bit_mask, burst_cntr);if ((dq_temp & bit_mask) !== (dq_fifo[i] & bit_mask))$display ("%m at time %t: ERROR: Read data miscompare. Expected = %h, Actual = %h, Mask = %h, i = %d", $time, dq_fifo[i], dq_temp, bit_mask, burst_cntr);burst_cntr = burst_cntr + 1;endendif (!ck) beginptr_rst_n <= (dm_fifo[4] !== {DM_BITS{1'bx}});for (i=0; i<=(4*CL_MAX+BL_MAX); i=i+1) begindm_fifo[i] = dm_fifo[i+2];dq_fifo[i] = dq_fifo[i+2];endodt_fifo = odt_fifo>>1;endend// End-of-test triggered in 'subtest.vh'task test_done;begin$display ("%m at time %t: INFO: Simulation is Complete", $time);$finish(0);endendtask// Test included from external file`include "subtest.vh"endmodulemodule dqrx (ptr_rst_n, dqs, dq, q0, q1, q2, q3);`include "ddr3_parameters.vh"input ptr_rst_n;input dqs;input [DQ_BITS/DQS_BITS-1:0] dq;output [DQ_BITS/DQS_BITS-1:0] q0;output [DQ_BITS/DQS_BITS-1:0] q1;output [DQ_BITS/DQS_BITS-1:0] q2;output [DQ_BITS/DQS_BITS-1:0] q3;reg [1:0] ptr;reg [DQ_BITS/DQS_BITS-1:0] q [3:0];reg ptr_rst_dly_n;always @(ptr_rst_n) ptr_rst_dly_n <= #(TDQSCK + TDQSQ + 2) ptr_rst_n;reg dqs_dly;always @(dqs) dqs_dly <= #(TDQSQ + 1) dqs;always @(negedge ptr_rst_dly_n or posedge dqs_dly or negedge dqs_dly) beginif (!ptr_rst_dly_n) beginptr <= 0;end else if (dqs_dly || ptr) beginq[ptr] <= dq;ptr <= ptr + 1;endendassign q0 = q[0];assign q1 = q[1];assign q2 = q[2];assign q3 = q[3];endmodule。

WeblogicT3协议解析以及T3内存马

WeblogicT3协议解析以及T3内存马

WeblogicT3协议解析以及T3内存马看了很多⽹上关于weblogic t3协议解析,基本没⼈好好分析。

先说⼀下为什么要分析T3协议,主要是受朋友所托使⽤python模拟调⽤T3协议。

⽬前的weblogic T3攻击⼯具,⼤体都是java或者python等编写,有两⼤特点:1. java 编写的攻击⼯具⼀般集成weblogic的t3.jar,攻击者通过反序列化漏洞造成的任意代码执⾏向weblogic安装⼀个T3实例,攻击者调⽤这个实例去完成回显等复杂操作。

2. python等语⾔编写的⼯具为了实现weblogic反序列化攻击,⼀般直接替换weblogic t3流中aced 0005部分实现反序列化攻击。

这种⽅式的缺点在于⽆法完成T3协议的交互,导致⽆法回显等弊端。

⽽⽹上关于weblogic t3协议根本搜索不到任何相关信息,因为T3协议是oracle独有的,⾮开源协议。

如果要是分析T3协议,只能对着weblogic 的源码,静态分析加动态调试。

只知道T3也称为丰富套接字,是BEA内部协议,功能丰富,可扩展性好。

T3是多⼯双向和异步协议,经过⾼度优化,只使⽤⼀个套接字和⼀条线程。

借助这种⽅法,基于Java的客户端可以根据服务器⽅需求使⽤多种RMI对象,但仍使⽤⼀个套接字和⼀条线程。

这也为我们静态分析t3协议带来了很多⿇烦T3的交互过程如下协议协商客户端⾸先发送下⾯的信息给weblogic服务器t3 10.3.6AS:255HL:19表明这是⼀个T3协议,⽽服务器接收到信息后,也会回复类似的消息。

HELO:12.2.1.4.falseAS:2048HL:19MS:10000000PN:DOMAIN代码分析有点复杂,这⾥不再赘述,只讲重点。

客户端通过下⾯的代码发起socket请求weblogic.rjvm.t3.MuxableSocketT3#connect(.InetAddress, int, int)服务端则在weblogic.rjvm.t3.MuxableSocketT3#readIncomingConnectionBootstrapMessage处理T3的启动。

DDR

DDR
2.低温结果:PASS的范围明显变小,主要是横坐标wrcdc在400-600ps时都是FAIL的。
3.常温测试比低温测试结果类似,wrcdc FAIL范围稍小
DQ Training相当于校准,测试完会计算一个平均值的值作为推荐值,可以把这阻值写进软件中。
因为只有左边wrcdc在100~45范围的窗口较大,计算出来的结果如下图,而高通推荐的范围是259~679,所以该项测试FAIL。
jedec_lpddr3_single_channel_CDC_Values_through_CDT中的最后CBD2部分。
3.我们总过做了四次测试,采用不同的测试工具和方法,得到的4个结果还是比较吻合的。
4.分析结论:
该芯片wrcdc时序余量较小,需要参考压力测试的结果,如果PASS,时序可以不该,如果FAIL,可以修改wrcdc的值。
5.第三项测试FIX_RD_CDC_Scan_WR_BYTE是我们需要的结果,我们目前的测试结果
稍有FAIL,高通认为是可以接受的。我们以后QDUTT部分只需要在常温下测试这一项就行。
四个测试的简单对比:
测试结果分析:
第一次测试:许鹏强1月5日结果
测试工具:QDUTT1.5.8
测试项目:DQ Training
P1项目佰维512M分离DDRBWMD3X32L7B-04GbQDUTT测试结果分析:
1.DDR测试包括压力测试和QDUTT眼图测试。
我们以压力测试结果为主,QDUTT测试结果为辅。这里撇开压力测试,单独分析下最近做的几次QDUTT的测试结果。
2.QDUTT是一个DDR眼图测试
用来判断代码中rdcdc,wrcdc这2个时序值和Rout数据线驱动电阻值的设置是否满足性能需求。具体的代码参考:

悍马主板说明书

- III -
环境安全性须知 z 灰尘、潮湿以及剧烈的温度变化都会影响主板的使用寿命,请尽量避免在这些恶劣环
境地方放置和使用。 z 本产品的标准使用环境温度为 0 度~40 度 (数据来自于主芯片要求)。 z 一般情况下,当环境温度变化过大, 可能导致接插件 (CONNECTOR) 之间产生接触性
- II -
安全指导
1. 请仔细阅读这些安全指导。 2. 请保留这份用户手册以便日后参考。 3. 在您开始安装之前请将设备放置于稳定可靠的平台上面。 4. 在您将设备连接电源供应器之前请确保电源电压合乎标准。 5. 设备上所有的警告,警示您都应该注意。 6. 在安装附加的接口与模块之前请将设备与连接器间的连接断开。 7. 决不能让任何液体流入机箱的开口处,这样的行为有可能会引起火灾或电击。 8. 不正确的电池替换可能会引起爆炸.请使用制造厂商建议的电池类型作替换。 9. 如果发生下列情形,请专职的服务人员为您检查您的设备:
商标布告 (按字母表的顺序排列)
所有的品牌,产品,徽标,商标和公司名称都是属于商标或注册商标各自的拥有者。 AMD, Phenom™ II x 4;Phenom™ II x 3;Phenom™ II x2;Athlon II™ x4;Athlon II™ x3;Athlon II™ x2;Sempron™是AMD有限公司的注册商标。 AMI ® 是American Megatrend, Inc.的注册商标。 Kensington 和 MicroSaver 是Kensington 科技集团的注册商标。 Microsoft 是Microsoft有限公司的注册商标。 Netware® 是Novell, Inc的注册商标。 AMD, AMD徽标是AMD有限公司在美国和其它国家的注册商标。 PS/2 和 OS®/2 是International Business Machines有限公司的注册商标。 PCMCIA 和 CardBus 是个人电脑存储卡国际联合会的注册商标。 Windows® 98/2000/ /XP/Vista/7 是Microsoft有限公司的注册商标。

信维国际推荐WEB服务器主板华硕P7F-E

要求。
口,包括 一个可支持专业 图形显卡的P E 1插槽 C — x 6 I
以 及 1 华 硕独 有 的PI E 插 槽 ,极 大 的提 升 了该 款 个 K卡 产 品 的使 用范 围和 使 用价 值 。
在 W B 务 器 中 , 内存 容 量 是 影 响 网 站 性 能 的 关 E服 键 因 素 ,尤 其 对 于 动 态 应 用 居 多 的 网 站 来 说 更 是 如 此 , 因此 ,尽 可 能 的增 加 内存 容 量 是 提 升 网站 访 问速
2 0 第 3 (第 0 ) 0 年 l 总 1 期 1 期 1
中国 讥代 装 备
信维国际推荐W B E 服务器主板华硕P E - 7 F
随着 企 业 信 息化 的全 面 推 进 , 业 网站 已 成 为 企 企 业 自我 展 示 和 对 外 交 流 重 要 窗 口, 助 企 业 官 网 , 仅 借 不
ME i
75
持最新的四核至强30 处理器,具备的睿频 加速技术 40
(n e u b o s eh o o y I t l T r o B o t T cn lg )和英 特 尔 超线 程 ( ne H pr T r a i g T c n l g )技 术 ,可 轻 I t l y e— h e d n eh o o y
硬、应用简单、管理便捷 的服务器平 台必不可少 பைடு நூலகம் 华硕P F E 国内最大 的服 务器 配件分 销商信维 7— 是
国 际旗 下代 理 的一 款 单 路 服 务 器 主 板 产 品 ,采 用 英 特 尔全 新 的 32 P H 片组 ,支 持 英特 尔 最 新至 强处 理 40 C 芯 器 以及D R 大 容 量 内存 ,再 加 之 领 先 的 网络 配 置 ,使 D3 得该 产 品恰 能满 足 企业 搭 建 网 站平 台 的特 殊 需 求 。 众 所 周 知 ,W B 务 器 ( 网站 数 据 库 服 务 器 ) E服 非 主 要 承 担 网站 内容 的呈 现 任 务 ,对 数 据 的 计 算 和 处 理 性 能 要 求 并 不是 很 高 ,对 于 日访 问量 在3 0 I 的 中 型 00 P 网站 , 单 核 至 强 处 理 器 即 可 满 足 要 求 。 华 硕 P F E 7 - 支

全志科技股份有限公司Allwinner A系列SDRAM支持列表说明书

Allwinner Axx SDRAM Support ListGeneral Description:1.The purpose of this document is the guide of DDR seclection for customer using our solution.2.There are some DDRs that not mentioned here. Since DDR is an universal device. So you can test3. Since DDR is high speed device. Please directly copy our PCB DRAM Part Template and followAbbr. and Definition of Status:Abbr.DefinitionX Do Not SupportD/S Datasheet SupportS/T Sample Tested√Sample Tested and Mass ProductionVendor Part Number Type package Density Organization A31(s)A20A23A80A33A83R58R16A64Hynix H5TQ2G83CFR-xxC DDR3FBGA 782Gb256Mx8√√S/T D/S D/S D/S D/S D/SH5TQ2G83DFR-xxC DDR3FBGA 782Gb256Mx8√√D/S D/S D/S D/S D/S D/SH5TQ2G63DFR-xxC DDR3FBGA 962Gb128Mx16D/S D/S X D/S D/S D/S D/S D/SH5TQ2G83EFR-xxC DDR3FBGA 782Gb256Mx8√√√D/S D/S D/S D/S D/SH5TQ2G83FFR-xxC DDR3FBGA 782Gb256Mx8D/S D/S D/S D/S D/S D/S D/S D/SH5TQ2G63FFR-xxC DDR3FBGA 962Gb128Mx16S/T D/S X S/T D/S D/SH5TQ4G83MFR-xxC DDR3FBGA 784Gb512Mx8D/S D/S D/S D/S D/S D/S D/S D/SH5TQ4G63MFR-xxC DDR3FBGA 964Gb256Mx16S/T S/T S/T D/S D/S S/T S/T D/SH5TQ4G83AFR-xxC DDR3FBGA 784Gb512Mx8S/T S/T√D/S D/S D/S D/S D/SH5TQ4G63AFR-xxC DDR3FBGA 964Gb256Mx16√√√S/T S/T S/T S/T S/TH5TQ4G63AFR-RDC DDR3FBGA 964Gb256Mx16S/T S/T S/T S/T H5TC2G83EFR-xxA DDR3L FBGA 782Gb256Mx8D/S D/S S/T D/S D/S D/S D/S D/SH5TC2G83FFR-xxA DDR3L FBGA 782Gb256Mx8D/S D/S D/S D/S D/S D/S D/S D/SH5TC2G63FFR-xxA DDR3L FBGA 962Gb128Mx16S/T S/T X S/T D/S D/SH5TC4G83AFR-xxA DDR3L FBGA 784Gb512Mx8S/T D/S S/T D/S D/S D/S D/S D/SH5TC4G63AFR-xxA DDR3L FBGA 964Gb256Mx16S/T S/T S/T S/T D/S S/T S/T D/S S/T H5TC4G83BFR-PBA DDR3L FBGA 784Gb512Mx8D/S D/S S/T D/S S/T D/S D/S S/TH5TC2G83EFR-xxR DDR3L-RS FBGA 782Gb256Mx8D/S D/S D/S D/S D/S D/S D/S D/SH5TC2G63DFR-xxR DDR3L-RS FBGA 962Gb128Mx16D/S D/S X D/S D/S D/S D/S D/SH5TC2G63FFR-xxR DDR3L-RS FBGA 962Gb128Mx16D/S D/S X D/S D/S D/S D/S D/SH5TC4G83AFR-xxR DDR3L-RS FBGA 784Gb512Mx8D/S D/S D/S D/S D/S D/S D/S D/SH5TC4G63AFR-xxR DDR3L-RS FBGA 964Gb256Mx16D/S D/S D/S D/S D/S D/S D/S D/SH5TC8G63AMR-PBA DDR3L FBGA 968Gb256Mx16x2CS D/S D/S X S/T S/T S/T S/T S/TH5TC8G83AMR-PBA DDR3L FBGA 788Gb512Mx8x2CS D/S D/S X D/S D/S D/S D/S D/SH5TC4G63CFR-PBA DDR3L FBGA 964Gb256Mx16D/S D/S D/S D/S D/S S/T S/T D/S S/T H9TKNNN8JDAPLR-NGN LPDDR28Gb128Mx32x2CS D/S X X D/S X D/S D/S XH9CCNNN8JTALAR-NTD LPDDR3FBGA 1788Gb128Mx32x2CS D/S X X S/T X S/T S/T XH9CCNNNBLTALAR-NTM LPDDR3FBGA 17816Gb256Mx32x2CS S/T S/TH9CKNNN8GTMPLR-NUH LPDDR3POP 1688Gb128Mx32x2CS S/T S/TH9CCNNNBJTMLAR-NUM LPDDR3POP 16816Gb256Mx32x2CSNanya(南亚)NT5CB128M8DN-xx DDR3FBGA 781Gb128Mx8D/S S/T X X X D/S D/S XNT5CB256M8GN-xx DDR3FBGA 782Gb256Mx8S/T S/T S/T D/S S/T D/S D/S S/TNT5CB256M8FN-xx DDR3FBGA 782Gb256Mx8S/T S/T S/T D/S D/S D/S D/S D/SNT5CB128M16HP-xx DDR3FBGA 962Gb128Mx16S/T S/T X S/T D/S D/SNT5CB128M16FP-xx DDR3FBGA 962Gb128Mx16D/S D/S X D/S D/S D/SNT5CB256M16BP-xx DDR3FBGA 964Gb256Mx16S/T S/T S/T S/T S/T D/S D/S S/TNT5CC512M8CN-xx DDR3L FBGA 784Gb512Mx8S/T S/T S/T D/S D/S D/S D/S D/SNT5CC256M16CP-xx DDR3L FBGA 964Gb256Mx16S/T D/S D/S D/S D/S D/S D/S D/SNT5CC256M16CP-DI DDR3L FBGA 964Gb256Mx16S/T S/T S/T S/T S/T S/T NT6CL256T32AQ-H2LPDDR3POP 1688Gb128Mx32x2CS S/T S/TNT6CL128M32AQ-H2LPDDR3POP 1684Gb128Mx32x1CS D/S D/SNT5CC256M16DP-DI DDR3L FBGA 964Gb256Mx16S/T S/T S/T S/T NT5CC128M16IP-DI DDR3L FBGA 962Gb128Mx16NT5CB64M16FP-DH DDR3FBGA 961Gb64Mx16NT6TL256T32AQ-G1LPDDR2POP 1688Gb128Mx32x2CS S/T S/TNT6TL128M32AQ-G0LPDDR2POP 1684Gb128Mx32x1CS S/T S/TNT5CC64M16GP-DI DDR3L FBGA 961Gb64Mx16Elpida EDJ2108BDBG-DJ-F DDR3FBGA 782Gb256Mx8D/S D/S D/S D/S D/S D/S D/S D/SEDJ4216EFBG-GN-F DDR3FBGA 964Gb256Mx16S/T S/T S/T S/T S/T D/S D/S S/TEDJ4208EFBG-GNL-F DDR3L FBGA 784Gb512Mx8S/T S/T D/S D/S D/S D/S D/S D/SEDJ4208EFBG-GN-F DDR3FBGA 784Gb512Mx8S/T S/T D/S D/S D/S D/S D/S D/SEDJ4216EFBG-GNL-F DDR3L FBGA 964Gb256Mx16S/T S/T S/T D/S S/T D/S D/S S/T S/T J2108DEBG-DJ-F DDR3FBGA 782Gb256Mx8D/S D/S D/S D/S D/S D/S D/S D/SAllwinner Technology CO., Ltd.ElpidaEDB8132B3MC-8D-F LPDDR28Gb128Mx32x2CS S/T X X S/T X D/S D/S XEDB8132B2MA-8D-F LPDDR28Gb128Mx32x2CS D/S X X S/T X D/S D/S XEDFA232A1MA-GD-F LPDDR316Gb256Mx32x2CS D/S X X D/S X D/S D/S XMicron MT41K256M8DA-125DDR3L FBGA 782Gb256Mx8D/S D/S S/T D/S D/S D/S D/S D/SMT41K128M16JT-125DDR3L FBGA 962Gb128Mx16S/T D/S X X X D/S D/S XMT41J128M16JT-107DDR3FBGA 962Gb128Mx16S/T S/T X X X D/S D/S XMT41K256M16HA-125DDR3L FBGA 964Gb256Mx16S/T D/S S/T S/T S/T D/S D/S S/T S/T MT41K512M8RH-125DDR3L FBGA 784Gb512Mx8D/S D/S S/T D/S D/S D/S D/S D/SMT41J256M8HX-15DDR3FBGA 782Gb256Mx8D/S D/S S/T D/S S/T D/S D/S S/TSAMSUNG K4B4G1646B-HCK0DDR3FBGA 964Gb256Mx16S/T S/T S/T D/S D/S S/T S/T D/SK4B2G1646E-BCK0DDR3FBGA 962Gb128Mx16S/T D/S X D/S D/S D/SK4B2G0846D-HCK0DDR3FBGA 782Gb256Mx8D/S D/S D/S D/S D/S D/S D/S D/SK4B2G1646Q-BCK0DDR3FBGA 962Gb128Mx16S/T D/S X D/S S/T D/S D/S S/TK4B4G1646Q-HYK0DDR3L FBGA 964Gb256Mx16S/T S/T S/T S/T S/T S/T S/T S/T S/T K4B8G1646Q-MYK0DDR3L FBGA968Gb256Mx16x2CS D/S D/S X D/S D/S S/T S/T D/SK4B4G1646D-BCK0DDR3FBGA964Gb256Mx16S/T K4E8E304ED-EGCF LPDDR3FBGA 1788Gb128Mx32x2CS D/S X X S/T X S/T S/T XK4E8E304ED-EGCE LPDDR3FBGA 1788Gb128Mx32x2CS D/S X X D/S X S/T S/T XK4E8E304ED-EGCC LPDDR3FBGA 1788Gb128Mx32x2CS D/S X X S/T X S/T S/T XK4E4E324ED-EGCF LPDDR3FBGA 1784Gb128Mx32x1CS S/T X X D/S X D/S D/S XK4P8G304EG-AGC2LPDDR2POP 1688Gb128Mx32x2CS S/T X X D/S X D/S D/S XK4E8E304EE-EGCE LPDDR3FBGA 1788Gb128Mx32x2CS D/S X X S/T X S/T S/T X S/T K4E6E304EE-EGCE LPDDR3FBGA 17816Gb256Mx32x2CS S/T S/TK4P8G304EQ-AGC2LPDDR2POP 1688Gb128Mx32x2CS S/T S/T S/T K4E8E304EE-AGCE LPDDR3POP 1688Gb128Mx32x2CS S/T S/TK4E4E164EB-EGCE LPDDR3FBGA 1784Gb128Mx32x1CS S/T S/TKingston(金士顿)D2516EC4BXGGB DDR3FBGA 964Gb256Mx16S/T S/T S/T D/S D/S S/T S/T D/SPSC/Mira (力晶)P3P4GF3BLF-GGN DDR3FBGA 784Gb512Mx8D/S S/T S/T D/S D/S D/S D/S D/S P3P4GF4BLF-GGN DDR3FBGA 964Gb256Mx16S/T S/T S/T D/S S/T D/S D/S S/T P3P4GF4BLF-GDJ DDR3FBGA 964Gb256Mx16D/S S/T S/T D/S D/S D/S D/S D/S P3P2GF3BLF-AGGN DDR3FBGA 782Gb256Mx8S/TAllwinner Technology CO., Ltd.Etron (钰创)EM6GE16EW5B-15H DDR3FBGA 964Gb 256Mx16S/T S/T S/T D/S D/S D/S D/S D/S EM6GD08EWUA-15H DDR3FBGA 782Gb 256Mx8D/S D/S S/T D/S S/T D/S D/S S/T EM6GD08EWUA-12H DDR3FBGA 782Gb 256Mx8D/SD/S S/T D/S D/S D/S D/S D/SEM6GD16EWXC-12HDDR3FBGA 962Gb 128Mx16EM6GE16EWXC-12HDDR3FBGA 964Gb 256Mx16S/T D/S D/S EM6GD08EWUC-12H DDR3FBGA 782Gb 256Mx8S/T D/S D/S EM6GE08EW8C-12H DDR3FBGA 784Gb 512Mx8S/TD/S D/SEM6GE16EWXD-12H DDR3FBGA 964Gb 256Mx16SCSemicon (华芯)HXB15H2G800BF-15H DDR3FBGA 782Gb 256Mx8S/T S/T S/T D/S D/S D/S HXB15H2G160BF-15H DDR3FBGA 962Gb 128Mx16D/S S/T X X X X HXB15H4G160BF-15HDDR3FBGA 964Gb 256Mx16S/T S/T S/T D/S S/T S/T HXB15H4G800BF-15H DDR3FBGA 784Gb 512Mx8D/S D/S S/T D/S D/S D/S HXB15H4G800AF-15HDDR3FBGA 784Gb 512Mx8D/S D/S S/T D/S D/SD/S HXB13H4G160BF(L)-13K DDR3L FBGA 964Gb 256Mx16D/S D/SD/S D/S D/S S/T S/T D/SHXB15H2G800BF-13K DDR3FBGA 782Gb 256Mx8S/THXB15H2G160BF-13KDDR3FBGA 962Gb 128Mx16PI (补丁)PMF511808DBR-KADN DDR3FBGA 782Gb 256Mx8D/S D/S S/T D/S D/S D/S PMF512816BBR-KADN DDR3FBGA 964Gb 256Mx16S/T S/T S/T D/S D/S S/T S/T D/S PMF511808BBRDDR3FBGA 782Gb 256Mx8D/S D/S S/T D/S D/S D/S eorex (森富)EM47DM0888SBA-150DDR3FBGA 781Gb 128Mx8D/S D/S X X X XEM47EM0888SBA-150DDR3FBGA 782Gb 256Mx8D/S D/S S/T D/S D/S D/S EM47CM1688SBB-150DDR3FBGA 961Gb 64Mx16D/S D/S X X X X EM47EM1688SBA-150DDR3FBGA 964Gb 256Mx16D/S S/T S/T D/S D/S D/S EM47EM3288SBA-150DDR38Gb 256Mx32S/T D/S X X XXGT (创芯)GT8UB128M16HP DDR3FBGA 962Gb 128Mx16S/T S/T X D/S D/S D/S GT8UB128M16BP DDR3FBGA 962Gb 128Mx16D/S S/T X D/S D/S D/S GT8UB256M16BP DDR3FBGA 964Gb 256Mx16S/T S/T S/T D/S D/S S/T S/T D/S GT8UB256M8EN-BG DDR3FBGA 782Gb 256Mx8D/S D/S S/T D/S D/S D/S GT8UB512M8EN-BGDDR3FBGA 784Gb512Mx8D/SD/S S/T D/S D/S D/SEG EG1L256M88BA12LH DDR3FBGA 782Gb 256Mx8D/S D/S S/T D/S D/S D/SAllwinner Technology CO., Ltd. EGElixir N2CB2G80GN DDR3FBGA 782Gb256Mx8D/S S/T S/T D/S D/S D/S N2CB4G16CP-DI DDR3FBGA 964Gb256Mx16D/S D/S S/T D/S D/S D/S华聆 xeme H2A402G1666ADBC DDR3FBGA 962Gb128Mx16H2A402G0866CD3C DDR3FBGA 782Gb256Mx8H2A404G0866ED9C DDR3FBGA 784Gb512Mx8H2C402G1666ADBC DDR3FBGA 962Gb128Mx16H2C402G0866CD3C DDR3FBGA 782Gb256Mx8H2C402G0866BD3C DDR3FBGA 782Gb256Mx8H2C404G0866ADEC DDR3FBGA 784Gb512Mx8H2C404G1666ADFC DDR3FBGA 964Gb256Mx16S/T S/T S/T S/T H2C404G0866CD8C DDR3FBGA 784Gb512Mx8H2C402G1666BDBC DDR3FBGA 962Gb128Mx16X X H2A402G0866CD3C DDR3FBGA 782Gb256Mx8XGCAI GDB41A32ED7-D1S LPDDR2POP 1684Gb128Mx32x1CS GDB42A32ED7-D1S(25nm)LPDDR2POP 1688Gb128Mx32x2CS GDB42A32ED7-D1S(30nm)LPDDR2POP 1688Gb128Mx32x2CS。

霍尼韦尔的WEBs系统

• 科动(Contemporary Controls)产品
• BACnet系统产品选择 (BACnet/IP)
-
BACnet MS/TP、IP路由器 24Vac/dc供电 导轨安装 内嵌Web服务器,供配置使用。 Honeywell WEBs经测试产品。
16 WEBs BACnet Solution v1.0
EasyIO 30P
PUL6436AS
13 WEBs BACnet Solution v1.0
FCU末端
Honeywell Proprietary
Æ
现场层 - 协议选择
• WEBs支持的现场层协议
- LonWorks:Spyder、XL10、XFL82x…… - BACnet:Spyder(MS/TP)、EasyIO30P - Modbus及其他:通讯电表等第三方设备 - 客户的需求 - VAV系统:LonWorks - 想简单些?……BACnet……
- 基于Web的建筑解决方案
• 采用的Tridium公司的Niagara Framework® 技术平台
• Niagara平台:拥有超过 150个合作伙伴
Tridium
6 WEBs BACnet Solution v1.0
Honeywell Proprietary
Æ
Honeywell于2005年全资收购 Tridium
Niagara平台
10 WEBs BACnet Solution v1.0
Honeywell Proprietary
Æ
典型架构
远端站点 远端 浏览器工作站 配置工具 浏览器工作站 Workbench 配置工具 用户企业 数据库
......
BACnet/IP Modbus/TCP OPC oBIX SQL 私有协议 …
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

– /go/hyperlynxsi
18
Click to edit Master title style
Thank You!
Steven McKinney Steven_McKinney@
19
19
ODT Signal leveling
பைடு நூலகம்
No No
Yes Yes
5
DDR3 Architecture
• 800 MT/s – 1333 MT/s for 2 DIMM slots Looking at 1066Mbs – Margins will be tight for Slot1 Slot2 1333 MT/s on Read operations • 1600 MT/s only supports 1 DIMM slot 65% 61% – It seems one can not achieve Looking at 1333Mbs reliable data transfer Slot1 Slot2 with 2 slots
7
Write Leveling
• • • • Write leveling adjusts the DQS to CK relationship by the controller – Uses a simple feedback provided by the DRAM Memory controller has an adjustable delay setting on DQS – Used to align the rising edge of DQS with the clock at the DRAM pin DRAM asynchronously feeds back CK sampled with the rising edge of DQS The controller repeatedly delays DQS until a transition from 0 to 1 is detected and determines DQS delay
8
Termination
• On-Die Termination used for Data/Strobes – Dynamic and controllable as in DDR2 • Address, Command, and Control signals no longer require pull-up terminations on PCB – Termination is on DIMM module • 39 ohm pull-up termination
16
17
Summary
• HyperLynx DDRx wizard can give you complete signal and timing verification of your DDR designs – Easy to use interface – Re-usable setups – Comprehensive results • Special thanks to Micron and Samsung for their contributions to market trend and DDR3 specification information • For additional information visit
15
Processing Simulation Data
• Based on the Simulation results
– Comprehensive timing measurements taken on every switching edge • Data to Strobe • Address to Clock • Clock to Strobe • Slew rate detrating – Signal Quality checks made – Results compilation and reporting • All waveform data saved • Spreadsheets created with various levels of pass fail criteria
14
HyperLynx Simulation
• Based on the input data and knowing the DDRx standard we..
– Assign stimulus to every driver • Components will drive signals during analysis just as the actual hardware does – Run a comprehensive set SI simulations • Read/Write cycles • Appropriate ODT settings • Use actuate interconnect models included coupling, trace impedances, single and coupled via models
10
DDR2/3 Timing Characteristics
• Signal Derating – Adjust setup and hold time based on the input slew rate of the signal • Buy back margin in your system
50%
55%
6
Fly-by Architecture
• Address, Control, and Clocks use Fly-by architecture vs. branch architecture for routing – Daisy-chain topology for these signals • Provides improved signal quality – Reduces number of stubs and their length • Requires write leveling to optimize timing – Fly-by creates too much skew between clock and strobe
11
DDR3 Timing Characteristics
• Performing signal setup and hold adjustments with JEDEC standard derating tables
12
DDR2 and DDR3 Design challenges
• • • • Dynamic ODT selection Source Synchronous relational timing Accounting for Derating Timing analysis with delays accounting for SI effects • Signal quality and Reliability issues • Accounting for Read/Write leveling
Source: Samsung Electronics
9
Lead-in vs. Loaded routing
• Neck down traces on DIMM modules to compensate for capacitive loads L Zo = – Increases impedance on traces C – 55 ohms on PCB and 60 ohms on module
2
DDR Market Trends
3
Lower Power
• Supply voltage reduced from 1.8V to 1.5V – 30% reduction in power supply voltage • Higher impedance driver requires less current – Driver impedance of 34 ohms vs. 18 ohms in DDR2
4
DDR Feature Comparison
DDR Data Rate System support Signaling Technology DQS signals
200 - 400 Mbps 4 slots – 8 loads SSTL_2 Bi-directional single ended
Click to edit Master title style Mentor Graphics HyperLynx
Meeting the Challenges of DDRx Design
Steven McKinney Steven_McKinney@
1
1
Agenda
• • • • • • • Market drivers/trends Performance overview Power comparisons Feature comparison Architecture HyperLynx verification solution Demonstration
Vih ac Vih dc Vref Vil dc Vil ac
13
How do we automate DDRx analysis?
• Take the following input..
– DDRx bus version and data rate – Identify controller and dram devices – Identify “Net Groups” – Select ODT option to try – Assign default JEDEC compliant timing models – Select simulation settings • Model corners (Min/Typ/Max) • Xtalk • All nets in protocol or subset
相关文档
最新文档