实验5VHDL信号发生器设计

合集下载

VHDL正弦信号发生器设计

VHDL正弦信号发生器设计

VHDL正弦信号发生器设计第一章绪论 (III)1.1 课题的研究背景与意义 (III)1.2 课题的国内外研究现状 (III)1.3 本文主要研究内容 (IV)第二章主要工具及其应用................................... 错误!未定义书签。

2.1 硬件设计技术...................................... 错误!未定义书签。

2.2 常用工具.......................................... 错误!未定义书签。

2.2.1 EDA .......................................... 错误!未定义书签。

2.2.2 VHDL ......................................... 错误!未定义书签。

2.2.3 DAC0832 ...................................... 错误!未定义书签。

2.3 本章小结.......................................... 错误!未定义书签。

第三章系统设计与实现 (VII)3.1倍频器模块 (VII)3.2主程序模块 (VII)3.3 波形显示模块 (VIII)3.4 频率显示模块 (VIII)第四章软件仿真 (IX)第五章硬件测试 (X)5.1 编译 (X)5.2 引脚的锁定 (XI)5.3 编程下载 (XI)5.4 硬件验证 (XII)5.5 波形显示 (XII)参考文献第一章绪论1.1 课题的研究背景与意义信号发生器作为一种常用的信号源,在现代通信领域和测量领域得到广泛的应用。

本文设计了基本设计方案,在现有单一信号发生器的基础上,加上其它信号模块,通过组合与设计,用数模转换器(D/A)将选中的信号源发出的信号由数字信号转换为模拟信号,再用示波器显示出来,其信号发生器的结构框图如图1-1所示。

VHDL语言设计信号发生器实验报告

VHDL语言设计信号发生器实验报告

实验四用VHDL设计多功能信号发生器一、设计任务基于《VHDL语言》,通过给定的仪器(EDA6000试验箱)设计一个多功能信号发生器,要求:(1)能产生周期性正弦波、方波、三角波、锯齿波以及用户自己编辑的特定波形(选作);(2)输出信号的频率范围为100Hz~200KHz,且输出频率可以调节;(3)具有显示输出波形、频率的功能。

(选作)二、系统顶层框图弦波的数字幅度信息,每个查找表的地址对应正(余)弦波幅度信号,同时输出到数模转换器(DAC)输入端,DAC输出的模拟信号经过低通滤波器(LPF),可以得到一个频谱纯净的正(余)弦波。

二、设计步骤用VHDL语言结合原理图设计实现一个函数信号发生器,输出正弦波、方波和三角波三种波形。

将频率控制、分频、三角波、正弦波、方波发生邓各个模块分别用VHDL语言编程为一个子程序,并把每一个模块转换成图形文件,然后在原理图编辑框调用这些图形模块,连接电路如上图系统顶层框图所示。

通过按键1到按键8控制频率调节f〔7...0〕,用按键6、按键7、按键8控制dlt 、sin 、sqr 波形选通,最后把八位输出接DAC0832通过D/A 转换,从示波器上就能看到波形输出。

按下不同的按键输出不同的波形及频率。

三、系统设计(1)数控分频器模块在时钟的作用下,通过预置分频数DIN ,来改变输出频率。

假如分频系数为N ,波形存储模块存储一个周期的波形,实验里按照一个周期波形采样64个点存储在波形存储模块里。

则输出频率N f f clkout .64=(2).数据存储模块 (存储波形数据)数据存储模块主要存的是正弦波、三角波、锯齿波等一个周期的采样点。

三角波模块可设计一个可逆计数器实现,设计时设置一变量作为工作状态标志,在此变量为0时,当检测到时钟的上升沿进行加同一个数操作;为1时,进行减同一个数操作。

DA 转换采用的DA0832,输入有8个数据端,范围是0到255;而且设置64个时钟周期为一个三角波周期,所有每次加、减为8.锯齿波的存储数据与三角波类似。

基于_VHDL_的函数信号发生器的设计毕业设计

基于_VHDL_的函数信号发生器的设计毕业设计

毕业论文基于 VHDL 的函数信号发生器的仿真设计毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。

尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。

对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:日期:指导教师签名:日期:使用授权说明本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:日期:学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。

除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。

对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。

本人完全意识到本声明的法律后果由本人承担。

作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。

本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

涉密论文按学校规定处理。

作者签名:日期:年月日导师签名:日期:年月日注意事项1.设计(论文)的内容包括:1)封面(按教务处制定的标准封面格式制作)2)原创性声明3)中文摘要(300字左右)、关键词4)外文摘要、关键词5)目次页(附件不统一编入)6)论文主体部分:引言(或绪论)、正文、结论7)参考文献8)致谢9)附录(对论文支持必要时)2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。

VHDL多路波形发生器实验报告

VHDL多路波形发生器实验报告

VHDL多路波形发生器实验报告一、基本要求:1、对输入时钟信号进行分频,实现三路互差120°的信号。

2、实现输出信号的占空比控制clk: 输入时钟信号reset: 同步复位信号(低电平有效)div: 输入分频控制信号(注意:6n分频)ctrl: 占空比控制信号ctrl=1时, 占空比为1:1ctrl=2时, 占空比为1:2ctrl=3时, 占空比为2:1A,B,C: 三路输出信号二、设计思路:1.实验为6n分频,用变量s来控制,0~6n-1这六个数,当时钟信号每来一个上升沿时加1,当为6n-1时清零;2.定义N为常量,通过改变N的值改变分频;3.ctrl值不同时,占空比不同,用case语句控制,ctrl分别为01,10,11和其他;4.具体波形的实现用if语句,当占空比为1时,A输出信号在s=0和s=3*n时翻转,B输出信号在s=2*n和s=5*n时翻转,C输出信号在s=4*n和s=n的时候翻转。

当占空比为1:2时,A输出信号在s=0和s=2*n时翻转,B输出信号在s=2*n和s=4*n时翻转,C输出信号在s=4*n和s=0的时候翻转。

当占空比为2:1时,A输出信号在s=0和s=4*n时翻转,B输出信号在s=2*n和s=0时翻转,C输出信号在s=4*n和s=2*n的时候翻转;5.在占空比为1和1:2时,C输出信号应比B慢120度,但是实际输出超前B,所以要对C输出进行反相;同理,在占空比为2:1时,要对B、C分别进行反向。

6.用if语句判断是否复位,若非,则执行case语句。

三、流程图:四、源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity top isport(clk,reset:in std_logic;ctrl:in std_logic_vector(1 downto 0);A,B,C:out std_logic);end top ;architecture rel of top issignal temp1,temp2,temp3,temp4,temp5 : std_logic; constant N: integer:=1;signal s:integer range 0 to 6*N-1 ;beginprocess(clk,reset,ctrl)beginif (reset='0') thentemp1<='0';temp2<='0';temp3<='0';temp4<='0';temp5<='0';s<=0;elsecase ctrl iswhen "01"=>if (clk 'event and clk='1') thenif s=6*N-1 thens<=0;elses <= s+1;end if;if s=0 thentemp1<= not temp1;end if;if s=3*N thentemp1<= not temp1;end if;if s=2*N thentemp2<= not temp2;end if;if s=5*N thentemp2<= not temp2;end if;if s=4*N thentemp4<= not temp4;end if;if s=N thentemp4<= not temp4;end if;end if;temp3<= not temp4;when "10"=>if (clk 'event and clk='1') thenif s=6*N-1 thens<=0;elses <= s+1;end if;if s=0 thentemp1<= not temp1;end if;if s=2*N thentemp1<= not temp1;end if;if s=2*N thentemp2<= not temp2;end if;if s=4*N thentemp2<= not temp2;end if;if s=4*N thentemp4<= not temp4;end if;if s=0 thentemp4<= not temp4;end if;end if;temp3<= not temp4;when "11"=>if (clk 'event and clk='1') thenif s=6*N-1 thens<=0;elses <= s+1;end if;if s=0 thentemp1<= not temp1;end if;if s=4*N thentemp1<= not temp1;end if;if s=2*N thentemp5<= not temp5;end if;if s=0 thentemp5<= not temp5;end if;if s=4*N thentemp4<= not temp4;end if;if s=2*N thentemp4<= not temp4;end if;end if;temp2<= not temp5;temp3<= not temp4;when others=>temp1<='0';temp2<='0';temp3<='0';end case;end if;end process;A<=temp1;B<=temp2;C<=temp3;end rel;五、仿真波形:整体波形:当ctrl=1 当ctrl=2 当ctrl=3复位当ctrl=其他:六、实验过程遇到的问题:在程序设计时,开始不知该怎样使A,B,C互差120度,开始是想通过定义一个变量,每来一个上升沿加1,从0开始,A路信号除3n 取余为0则翻转,B路信号除3n取余为1则翻转,C路信号除3n取余为2则翻转,我觉得这样的想法应该没有错,可是实际却调不出来,可能是某处逻辑有问题,后来就模仿老师给的6分频程序,设计了现在的程序。

VHDL课程设计_函数信号发生器

VHDL课程设计_函数信号发生器

学生:学号:专业:年级班级:课程名称: 可编程数字系统设计实验项目: VHDL设计一信号发生器试验时间: 2014年5月24日指导老师:一、实验目的:熟悉EDA工具,掌握用VHDL语言进行数字系统设计的基本方法和流程,提高工程实践能力二、设计任务设计信号发生器,用VHDL语言描述,用QuartusII工具编译和综合,并在实验板上调试并实现所要求功能和技术指标,撰写实验报告,最后提交验收并答辩。

题目一:设计正弦波发生器题目二:设计锯齿波发生器题目三:设计三角波发生器三、功能要求与技术指标基本功能:(1 )模拟输出:由于FPGA上无D/A转换模块,因此设计中必须包含PWM发生模块,PWM输出后经过RC低通波电路转换为电压幅值正比于占空比的模拟电压。

滤波电路需自行设计。

(2)可以通过按键设定波形的频率,频率可以显示在数码管上。

(3)按钮开关输入须消抖处理。

在完成以上功能的前提下,可增加其它一些实用的功能。

要求:1、每个同学必须完成基本功能,在完成基本功能的前提下可自由发挥。

2、实验报告主要容包含:设计原理、系统分析、程序设计、仿真/实验结果(例如波形图和实验板运行时的照片)、结论或分析、使用说明等,在最后附上主要源程序。

3、程序代码结构清晰,可读性强,关键语句应注释。

四、设计原理:原理图:原理图(PS:RESTA按键已被删除)频器将FPGA上的晶振进行分频所得的时钟信号作为计数时钟。

最后进行利用比较的方式得到占空比可调的脉冲波。

同时,分频器的分频系数可由键盘和数码管配合的显示数值进行运算反馈回PWM模块,达到频率可调的目的。

此外,另设一输出接至LED2,无法测量波形的情况下,利用呼吸灯的效果可检测PWM模块的完成与频率可调的成功性。

2、键盘设移位复位键,数码管计数键与频率传送键。

同时设立消抖计数值,当按键按下以后进行计数,在按键退出后,停止计数,取最后一次计数作为按键信号完成按键消抖。

按下移位复位键,数码管位选下一位,同时示数复位清零,并且循环移位,当按完4次按键后,数码管全部清零。

vhdl语言多功能信号发生器

vhdl语言多功能信号发生器

河南机电高等专科学校《职业技能培训》结课大作业多功能信号发生器设计姓名:专业班级:学号:0任课教师:时间:成绩:多功能信号发生器设计医电08级1班刘登臣任课老师:石新峰摘要:信号发生器是一种常用的信号源,广泛应用于电子电路,自动控制系统和教学实验等领域。

目前使用的信号发生器大部分是函数发生器,且特殊发生器的价格昂贵,所以本设计使用的是AT89c51单片机构成的发生器,可产生三角波方波正弦波等多种特殊波形和任意波形,波形的频率可用程序控制改变。

在单片机上加外围器件矩阵式键盘,通过键盘控制波形频率的增减以及波形的选择,并用了LED显示频率的大小。

在单片机的输入端口进行D/A转换,再通过运放进行波形调整。

本设计具有线路简单、结构紧凑、价格低廉、性能优越等优点。

关键词:信号发生器;单片机;波形调整目录1. 概述 (4)2. 设计要求 (4)3. 总体构思 (4)4. 各单元电路的设计和实现 (6)5. 功能仿真及其结果 (8)6. 编译,现在及调试 (17)7. 总结与展望 (22)参考文献 (22)1.概述近年来,正弦脉宽调制技术(简称为SPWM技术)以其优良的传输特性成为电力电子装置中调制技术的基本方式。

SPWM法就是以正弦波作为基准波(调制波),用一列等幅的三角波(载波)与基准正弦波相交,由交点来确定逆变器的开关模式。

这样产生的脉冲系列可以使负载电流中的高次谐波成分大为减小。

电力电子技术和微机控制技术的发展使得正弦波脉宽调制技术(SPWM在电气传动、ups电源等领域得到广泛的应用,如何产生标准的、精确的和可控的SPWM信号是实现这种技术的关键。

2.设计要求1.学习MSP430F169单片机等器件的工作原理及各引脚的说明,掌握MSP430F169单片机系统的工作原理和设计方法。

利用MSP430F169等元器件完成单片机系统设计和焊装、调试。

2.使用MAX038芯片实现正弦、三角波信号产生器和单片机测频电路、程序设计。

VHDL音频发生器课程设计

VHDL音频发生器课程设计

VHDL音频发生器课程设计一、课程目标知识目标:1. 学生能理解VHDL语言的基本语法和结构,掌握利用VHDL进行数字电路设计的基本方法。

2. 学生能掌握音频发生器的原理,了解其工作流程及组成结构。

3. 学生能运用VHDL语言编写程序,实现一个简易的音频发生器。

技能目标:1. 学生能够运用所学知识,使用VHDL设计并实现音频发生器,提高实际操作能力。

2. 学生通过课程学习,培养解决实际问题的能力,学会查阅资料、分析问题、设计方案、调试程序等过程。

情感态度价值观目标:1. 学生在学习过程中,培养对电子设计、编程的兴趣和热情,提高创新意识和团队协作精神。

2. 学生能够认识到电子技术在现实生活中的应用,增强对科技发展的关注,培养社会责任感和使命感。

本课程针对高中年级学生,结合电子技术课程内容,以VHDL语言为基础,设计一个音频发生器。

课程性质为实践性、综合性,注重培养学生的动手能力和实际问题解决能力。

在教学过程中,要求教师引导学生积极参与,注重启发式教学,鼓励学生提出问题、解决问题,从而实现课程目标。

通过本课程的学习,学生能够达到以上所述的知识、技能和情感态度价值观目标,为后续相关课程学习打下坚实基础。

二、教学内容本课程教学内容主要包括以下几部分:1. VHDL语言基础:讲解VHDL的基本语法、数据类型、运算符、信号与变量等,对应教材第1章内容。

2. 数字电路设计原理:介绍数字电路设计的基本概念、原理和方法,重点讲解时钟信号、触发器、计数器等基本电路,对应教材第2章内容。

3. 音频发生器原理:分析音频发生器的工作原理、组成结构以及关键参数,对应教材第3章内容。

4. VHDL程序设计:结合实际案例,教授如何利用VHDL语言编写程序,实现数字电路的设计,对应教材第4章内容。

5. 音频发生器设计与实现:引导学生运用所学知识,设计并实现一个简易的音频发生器,包括程序编写、调试与优化等,对应教材第5章内容。

6. 实践操作与总结:安排学生进行实践操作,培养动手能力,并对设计过程中遇到的问题进行总结和分析,提高解决问题能力。

VHDL语言正弦波信号发生器设计

VHDL语言正弦波信号发生器设计

AS正弦波信号发生器设计一、实验内容1•设计一正弦信号发生器,采用ROM进行一个周期数据存储,并通过地址发生器产生正弦信号。

(ROM : 6位地址8位数据;要求使用两种方法:VHDL 编程和LPM)2•正弦信号六位地址数据128,140,153,165,177,188,199,209, 219,227,235, 241,246,250,253,255,255,254,252,248,244,238,231,223,214,204,194,183,171,159,147,134,121,109,96,84,72,61,51,41,32,24 ,17,11,7, 3 ,1,0,0,2,5,9,1420,28,36,46,56,67,78,90,102,115,127 。

二、实验原理正弦波信号发生器是由地址发生器和正弦波数据存储器ROM两块构成,输入为时钟脉冲,输出为8位二进制。

1.地址发生器的原理地址发生器实质上就是计数器,ROM勺地址是6位数据,相当于64位循环计数器。

2•只读存储器ROM的设计(1)、VHDL编程的实现①基本原理:为每一个存储单元编写一个地址,只有地址指定的存储单元才能与公共的I/O相连,然后进行存储数据的读写操作。

②逻辑功能:地址信号的选择下,从指定存储单元中读取相应数据。

addrp^O] data[7,.O] —rI II- Ii—en ■in st⑵、基于LPM宏功能模块的存储器的设计①LPM : Library of Parameterized Modules可参数化的宏功能模块库。

②Quartus II提供了丰富的LPM库,这些LPM函数均基于Altera器件的结构做了优化处理。

③在实际的工程中,设计者可以根据实际电路的设计需要,选择LPM库中适当的模块,并为其设置参数,以满足设计的要求,从而在设计中十分方便的调用优秀的电子工程技术人员的硬件设计成果。

1.基于VHDL S程的设计在地址信号的选择下,从指定存储单元中读取相应数据系统框图如下:FPGA屢理框團2. 基于LPM宏功能模块的设计LPM宏功能具有丰富的由优秀的电子工程技术人员设计的硬件源代码可供调用,我们只需要调用其设计的模块并为其设计必要的参数即可。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验五 VHDL 信号发生器设计
——正弦波信号发生器DDS
实验课时:2
实验类型:(验证)
实验要求:(必修)
一、实验场地与环境
(1) 电子信息工程实验室。

(2) 安装好Quartus Ⅱ软件。

二、实验目的及内容
实验目的:学习信号发生器VHDL 设计、仿真和硬件测试,熟悉VHDL 设计技术,熟悉DDS 信号合成原理;
实验要求:设计实现正弦波信号发生器的VHDL 程序,并加以仿真和测试。

实验原理: 一个典型的DDS 系统如图5-1所示,相位累加器可以在时钟的控制下完成相位的累加;相位/幅度码转换电路一般由ROM 实现;D/A 转换电路,将数字形式的幅度码转换成模拟信号;低通滤波器将DDS 产生的混叠干扰滤除等四部分组成。

其中DDS 从频率寄存器开始到波形存储表的数字部分通常也可称作数控振荡器(NCO 一Numerical Control Oscillator )。

模块NCO 实现由数字频率值输入生成相应频率的数字波形,其工作过程为:
(1) 确定频率控制字PSW(Frequency Control Words);
(2)在系统时钟f c 的控制下,该频率控制字累加至相位累加器生成实时数字相位值;
(3)将相位值寻址ROM 转换成正弦表中相应的数字幅码。

图中:f c 为参考时钟频率,f 0为输出频率,频率控制字为PSW ,N 为相位累加器的字长,M 为ROM 地址线位数,L 为ROM 数据线位数,即DAC 的位数。

1)相位累加器
相位累加器是DDS 最基本的组成部分,由N 位全加器和N 位寄存器级联而成,对代表频率的二进制码进行累加运算,产生累加结果 Y 。

相位累加器是一个典型的反馈电路,见
系统时钟f c f 0 图5-1 DDS 基本结构框图
图 5-2所示。

若当前相位累加器的值为∑n ,经过一个时钟周期后变为∑n+1,则满足:
∑n+1=∑n +PSW (5-1)
由上式可见∑n 为一等差数列,不难得出
∑n =nPSW+∑0 (5-2)
其中∑0为相位累加器的初始相位值。

2)正弦查询表(ROM )
DDS 查询表所存储的数据是每一个相位所对应的二进制数字正弦幅值,在每一个时钟周期内,相位累加器输出序列的高M 位对其进行寻址,最后的输出为该相位相对应的二进制正弦幅值序列。

可以看出,ROM 的存储量为2M ·L 比特,其中M 为相位累加器的输出位数,L 为ROM 的输出位数。

若M=12,L=8,可以算出ROM 的容量为32,768bit 。

虽然在一块DDS 芯片中集成大的ROM 存储量,可以提高输出信号的精度和无杂散动态范围,但会使成本提高,功耗增大,且可靠性下降,所以就有了许多压缩ROM 容量的方法。

而且,容量压缩还可以使我们使用更大的M 和L 值,
进而使DDS 的杂散性能获得提高。

3) 数模转换器(DAC)
数模转换器的作用是将数字形式的波形幅
值转换成所要求合成频率的模拟形式信号。

DAC 有电压和电流输出两种,其输出的信号
并不能真正连续可变,而是以其绝对分辨率为
最小单位的,所以其输出实际上是一个阶梯模
拟信号,如图5-3所示,最后通过低通滤波器平
滑后得到一个纯净的正弦模拟信号。

DDS 的基本原理:直接数字频率合成技术是
从相位概念出发,直接对参考正弦信号进行采
样,得到不同的相位,通过从相位出发来描述正
弦函数,图5-4表示了半径r 为1的单位圆,半
径r 绕圆心旋转与X 轴的正方向形成夹角θ(t ),
即相位角,周期性函数当相位角确定,其幅值也
有唯一的确定值与之对应。

DDS 技术的基本原理是利用采样定理,通
频率控制字
Y 图5-2 相位累加器原理框图
图5-4 相位角与幅值的关系s=rsin θ(t) 图5-3 DAC 输出的模拟信号
过查表法产生波形。

它首先对需要产生的波形进行采样,将采样值数字化后存入存储器作为查找表,然后再通过查找表将数据读出,经过D/A 转换器转换成模拟量,把存入的波形重新合成出来。

对每一个时钟脉冲,N 位加法器将频率控制字FSW 与累加寄存器输出的累加相位数据相加,把相加后的结果送至相位累加寄存器的输入端。

相位累加寄存器一方面将上一时钟周期作用后所产生的新的相位数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制字FSW 相加,如图5-2所示;另一方面将这个值作为取样地址值送入幅度/相位转换电路(即图5-1 中的波形存储器ROM),幅度/相位转换电路根据这个地址值输出相应的波形数据。

最后经数/模转换和低通滤波器将波形数据转换成所需要的模拟波形。

相位累加器在基准时钟的作用下,进行线性相位累加,当相位累加器的累加值满量时(满量值为Y= 2 N)就会产生一次溢出,这样就完成了一个周期,这个周期也就是DDS合成信号的一个周期。

由于,
f = ω/ 2π=Δθ/(2π·Δt) (5-3)
式中Δθ为一个采样周期Δt之间的相位增量。

Δt=1/ f c(5-4)
Δθ=FSW·2π/2N (5-5)
FSW 即为图5-1 中的频率控制字。

所以,FSW 和时钟频率f c共同决定着DDS 输出信号的频率f 0,它们之间的关系间公式(5-6)。

f 0 = (FSW/2N)f c(5-6)
参考案例:DDS信号发生器设计示例
图5-5 DDS信号发生器顶层原理图
三、实验报告
根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

四、思考题
多功能信号发生器如何利用FPGA产生?。

相关文档
最新文档