数字电子课设报告汽车尾灯控制电路设计
数字电路课程设计(汽车尾灯控制)

西安电子科技大学数字电路课程设计汽车灯控制电路一.设计任务设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模 拟〉,当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺 序点亮<R|f Ri R 2f R i R 2R 3f 全火f R i )时间间隔 0.5S <米用一个 2HZ 地方波 源);在左转弯时,左侧3个指示灯按左循环顺序点亮<L |f L i L 2f LiLL^f 全火f L i );在临时刹车或者检测尾灯是否正常时 ,所有指示灯同时点亮VRR2R 3 L 1L 2L 3 点亮);当汽车后退地时候所有灯循环点亮;当晚上行车地时候汽车灯地最下 一个灯一直点亮.b5E2RGbCAP利用multisim 7.0软件进行仿真三、设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时 所有 灯点亮地次序和是否点亮是不同地,所以用74138译码器对输入地信号进行译码, 从而得到一个低电平输出,再由这个低电平控制一个计数器 74160,计数器输出为 高电平时就点亮不同地尾灯 <这里用发光二极管模拟),从而控制尾灯按要求点 亮.由此得出在每种运行状态下,各指示灯与给定条件间地关系,即逻辑功能表1 所示.汽车尾灯控制电路设计总体框图如图 1所示.piEanqFDPw开关控制汽车运云行状态 右转尾灯 左转尾灯3 S i S> 汽车运行」状态 R i R 2R 3L i L 2L 30 0 0 正常运行灯火 灯火0 0 1 左转弯 灯火按L i L 2L 3顺序循环点亮0 1 0 右转弯 按R1F 2F 3顺序循环点亮灯火0 1 1临时刹车/检测所有尾灯冋时点亮 1 0 0 倒车 所有尾灯按照转弯次序点亮1 01晚上行车时R 3,L 3 一直点亮译码电路74138DXDiTa9E3d图1汽车尾灯控制电路设计总体框图设计条件显示驱动电路R i R 2R 3 L i L 2L 3脉冲产生电路555 f*记数电路74160开关控制电路四、设计内容⑤⑥<一)■分步设计:1 •时钟信号源<CLK设计:①.设计说明:由于汽车灯是地点亮是给人地不同地信息及该车将要发生地动作,所以汽车地灯在闪烁地时候不能超过一定地频率,但是频率也不能太小,所以我们在设计地时候是采用地555定时器设计地一个脉冲产生源,占空比约为50%,它产生地频率f约为2HZ然后通过计数器就能控制汽车灯在循环点亮地时候时间间隔约为0.5S这样就能让人很清楚地明白该汽车地动作以采取相应地动作从而避免交通事故地发生.RTCrpUDGiT②.设计计算公式<对应右图):高电平时间:tM 77(R I R2)C低电平时间:t PI=0.7 R2C占空比:D 如R—t ph+tm R i +2R2如右图所示为在multisim 7.0里仿真时地实际连接电路.其中端口3为输出端,使用地时候只要将端口3接到CLK端即可.5PCzVD7HxA③.设计最后图形如右图所示:Rl 30K高电平时间t ph=250-0ms低电平时间tm =213.9ms占空比D=53.8% 频率f=2.158Cl12uF==555 3C2lOnF J8762C5 1C2lOnF 工9 VccR2812K2555定时仿真结果图形如右图所示:分析:根据右图分析结果,与预期结果一直,故设计正确.2.主电路设计:①.设计说明:实现地主要功能是通过开关控制从而实现汽车尾灯地点亮方式•根据表1具体实现如下:当& $ S O =000时候汽车处于正常行驶,尾灯完全处于熄灭状态,所以通过74138译码后为“ 11111110”不做任何处理jLBHrnAILg当S2S1 S0 =001时候汽车左转,所以汽车尾灯地左面3个灯按照L1—L1L2—L1L2L s f全火f L1 .... 顺序循环点亮,具体实现是通过74138对“ 001”译码为“ 11111101 ”然后通过译码后地低电平Y1通过一个非门控制计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)—0001VL 点亮)f 0010<L1L2 点亮)f 001KL1L2L3 点亮)f 0100<异步清零0000……循环计数就实现了循环点亮地这个过程.由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. XHAQX74J0X当S2S S0 =010时候汽车右转,所以汽车尾灯右面3个灯按照R1f R1R2fR1R2Rsf全灭f R1……顺序循环点亮,具体实现是通过74138对“010”译码为“ 11111011”然后通过译码后地低电平Y2通过一个非门控制计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)f 0001<R 点亮)f 0010<R1R2 点亮)f 001KR1R2R3 点亮)f 0100<异步清零)f 0000……循环计数就实现了循环点亮地这个过程.由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. LDAYtRyKfE当S2 S i S o =011时候汽车处于刹车状态或者处于检测汽车尾灯是否正常,所以汽车地尾灯全亮,具体实现是通过74138对“ 011”译码为“ 11110111 ”然后通过译码后地低电平Y3通过一个非门直接控制所有地尾灯点亮.Zzz6ZB2Ltk 当9 S1 S0 =100时候汽车处于倒车状态,倒车地时候汽车所有地尾灯按照转弯时候地顺序点亮灯.具体实现是通过74138对“ 100”译码为“11101111” dvzfvkwMI1然后通过译码后地低电平Y4通过一个非门控制另外一片计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)—000<R,L1 点亮)—0010<R1 甩山匕点亮)—0011VR1R2R3.L1L2L3 点亮)—0100<异步清零)-0000……循环计数就实现了循环点亮地这个过程•由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. rqyn14ZNXI当S2 s S0 =101时候汽车处于晚上行车状态,此时汽车尾灯地左右两端地最下面一个灯一直点亮即L3.R3 一直处于亮地状态•具体实现是通过74138对“ 101 ”译码为“ 11011111 ”然后通过译码后地低电平Y5通过一个非门直接控制L.3.R3 点亮.EmxvxOtOco②.主电路地仿真:分步仿真:⑴.汽车左转弯地仿真.在multisim 7.0下<以下地仿真都是在这个软件下,并且都是功能仿真)地仿真地电路图和波形.SixE2yXPq5VC:gmT sv:a£27V72□图3•左转弯仿真波形仿真波形分析:如图3所示当S 2 S i S 0 =001时候 w L i 变化顺序为: 000-»001 011 1+ 00叶由于输出为高电平时灯亮,所以尾灯地点亮方式 为:L | — L i L z — L | L 2L 3 T 全火—L i 6ewMyirQFLS2 S i S 0 =000地时候R R 2R i ,L i L ?L 3恒为000,所以所有灯熄灭与实际相符合 所以正确.kavU42VRUs⑵.汽车左右转弯仿真.图5.左右转弯仿真波形波形分析:根据图5有当S2Si S 0=001时候,L 3L ? L i 变化顺序为:000~P0i -011 11L000RR^R i =000由于输出为高电平时灯亮,所以尾灯地点亮 方式为:L i — L 1L 2TL 1L 2L 3T 全灭T L i ……R 3 R?R i 恒为熄灭;y6v3ALoS89S2 S i S 0 =010地时候,R 3 R?R i 变化顺序为:000-001 -011 11L0001t 2L 3=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:R i T R i R p T R i R 2 R 3T 全火T R i ........ L i L 2L 3 恒为熄火.M2ub6vSTnP S2 S i S 0 =000地时候,R 3 R 2R 1,L i L ?L 3恒为000,所以所有灯熄灭.经过分析与实际相符合,所以仿真正确.AA 3 /1o- ”L3 阳 Do- 7aL5-3ag7-SLS-3aDCL 1071BT 5t r74L504DXI-DSC74LS04.DIT4C-—",U4AQ1 3 fl - □Jb ■1■YYV-V* V*7EVABC-XESOLRR图4.左右转弯仿真电路⑶.汽车左右转弯和刹车地仿真图6•汽车左右和刹车仿真电路图7.汽车左右和刹车仿真波形根据图7有当S 2 S i S 0 =001时候,匕L 2L i 变化顺序为:11LO00RR2R i =OOO 由于输出为高电平时灯亮 所以尾灯地点亮R 3 R?R i 恒为熄灭;0YujCfmUCw000-^001 -011 11「000匕匕=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:Rjf R] R i R 2 R^f 全火f R i ..... L i L 2L 3 恒为熄火.eUts8ZQVRd S2 S i S 0 =011地时候,R 3 F 2R,L I L 2L 3恒为111,所以所有灯全亮.S2 S i S 0 =000地时候,R 3 F 2R I ,L I L 2L 3恒为000,所以所有灯熄灭. 经过分析与实际相符合,所以仿真正确.Mmmmwnwwwjwmnmmnnjw11*! I ■ ■ ! I I I ■ ! I I I B ! || < ! I ■ i ■ I I I ■ I I I ■! I I ■ ■I II■1 ............... ■ ■ ■ ■ ; ; i]1 1 I | ■ ■ |I I | | || || I | | | IIII II IIIIIi IIIi I I i ' I I I I IIII I i ■ I I Ii I I |l________ I I ________ I L__ n n-丄 | I11Ii I ■> I I I I I > I I—J U ---------r -1 H"I I I I > i I I--------- -------------- 1---------------- M ..... 1 i I LI : LJ : I ___n n n________________1 丨:1 ; ;i :i : ;i :i ;_:i 戸 I i I I i I I I ILi■Il 1 11 I 11j______ 1 I Il^—IJ L-J I-L ! ! ■! . L1> I I I I I I I I I I I I I I I I I I i II _______ I — — 一 — ____________________ _____ _____ _______波形分析:000—901 -011方式为:L i L 2f L I L 2L 3^全火f L iS S i S 0 =010地时候,R 3 R?R i 变化顺序⑷.左右转弯刹车和倒车仿真图8.汽车左右转弯刹车和倒车仿真电路图9.汽车左右转弯刹车和倒车仿真波形波形分析:根据图9有当S 2 S i S o =001时候,aa L i 变化顺序为:000-^001 -011 11LOO0RQR i =OOO 由于输出为高电平时灯亮,所以尾灯地点亮 方式为:L 1 — L 1L 2 — L 1L 2L 3T 全灭—L 1……R 3 R?R 1 恒为熄灭;sQsAEJkW5TS 2 S 1 S 0 =010地时候,R 3 R2R 1变化顺序为:000-001 -011 11L000T 1t 2L 3=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:R 1— R 1 R ?— R 1 R ? R 3—全火—R 1 ...... L i L 2L 3 恒为熄火.GMslasNXkA S2 S 1 S 0 =011地时候,R 3 F 2R I ,L 1L 2L 3恒为111,所以所有灯全亮• S2 S 1 S 0 =100地时候,R 3 F 2R I ,L 3 L 2L 1变化顺序都为: 000- 001- 011- 111- 000所以尾灯地点亮方式为:全灭 只1,穴12丄1厂r>*4071ET_5V*XI740^UMo-J暑」Eh"U 弭ir?Bu>-r>K4 mnjwinmwm wmjwinmwmn™• • • .........................................__________---------------------------- L-J__ I I I ii I I I— 、、・ r onli— ----- ——— -------- (I)i i I i I ..........................I 1 ■ —I..... ■ismaapBBm宀i is ■ i i i ii i i i bi r > I > > I > i - « i i i_ _-_,——I-,_=—»―-—■-—>—Ias—1I ...........................I I I I I IIl_l.IL_____I I I I I I —U ~liJtTIlJ -TL^T | 血础 HJ~LU~LJ ~LTIII _______VCCX3□4A—-74LSfl4D*― - 7iLSfl4B*ABC74L5D4口*□5DIT 61D74qSK*^4-38K* ITEiBD-丁帕时uesD4Q71.&D tV*OlHS4a75BD_5V*□SAMT 右 BD.W D9CX-B -0U1仲逊网*-o S VR 1R 2R 3.L 1L 2L 3全灭 TlrRGchYzg9 S i S o =000地时候,R 3 F 2R,L I R L 3恒为000,所以所有灯熄灭• 经过分析与实际相符合,所以仿真正确.⑸.左右转弯刹车倒车和晚上行车仿真....¥D二YL C Y2GLY5Y7pz>74 DSN阳'■TXH>J-Z- LU L“询ISKFG1 VX3I071BT S7□EB4&7£BlD i&VULDf3,5 VXi -o £ VD74QaN DffidD7HPI3*L&7 GV图10.左右转弯刹车倒车和晚上行车仿真电路图J £V0103■ 4 JJdkU7B05^DD74434N=rinnn ! i !j limn nUXfLLlhMLn^图11.左右转弯刹车倒车和晚上行车仿真波形波形分析:根据图9有当S2 S i S0 =001时候,L S L2 L in L变化顺序为:000-^001 -011 111^000T RR2R1=000由于输出为高电平时灯亮,所以尾灯地点亮方式为:L l —L1L2TL1L2L3—全灭T L1……R3R?R1 恒为熄灭;7EqZcWLZNXS2 S1 S0 =010地时候,R3 R?R1变化顺序为:000-001 -011 11L000T1L2L3=000由于输出为高电平时灯亮,所以尾灯地点亮方式为:R〔T R[ R p T R1 R2 R3T全火T R[ ............. L1 L2L3 恒为熄火.lz q7IGf02ES2 S1 S0 =011地时候,R B F2R I,L1L2L3恒为111,所以所有灯全亮.S2 S1 S0 =100地时候,R3 F2R I,L3 L2L1变化顺序都为:000- 001 011- 111- 000所以尾灯地点亮方式为:全灭2,穴1甩丄1厂R 1R 2R3.L 1L 2L 3全灭 zvpgeqJIhk9 S i S o =101 地时候,R 3 R2R 1Z L 2L 1 为 100 所以 R s, L 3 亮• S S 1 S o =000地时候,R s F 2R,L 1L 2L B 恒为000,所以所有灯熄灭. 经过分析与实际相符合,所以仿真正确.综合以上⑴⑵⑶⑷⑸得知此电路地设计是正确地 . (二>■总体设计: 1.设计地最后原理图:五■设计总结本次设计是通过查阅各种资料和我们地讨论地思考做出来地 ,由于学过 multisim7.0,经过思考后觉得由于我们地主电路都是数字电路构成地 ,所以完全可 以由multisim7.0仿真.但是对于输入脉冲 CLK 地频率较小,我们又必须设计一个 频率较小地方波源,通过网上查阅资料可以利用555定时器构成,于是我们又自己 设计一个方波源.但是设计好方波源后我们必须仿真看是否正确 ,于是就用到了 Multisim7.0进行仿真.这次我们设计地功能都是逐一增加地.NrpoJac3v1设计中地优点:基本实现了汽车在运行时候尾灯点亮方式地各种情况 . 设计中地不足:由于在行车地时候都是用开关控制地 ,所以每一个开关应该有一个消除机 械振动地装置,可以利用基本 RS 触发器来实现.在设计中可以再多用一个 74160 来做,从而简化电路图.所以如果在时间允许地条件下可以对这一系列地不足进行 解决,从而是整个系统更加可靠.1nowfTG4KI 六•设计参考资料 《数字电子技术基础》 西安电子科技大学出版社ST03T J 2TT7C!*D71BT_&V*3>-BR谿 ABCD £]匱•- C1 qJL&VIS o7«D3H -*rrPKS -o 2.B VYZ_B V34AUCA o工A丄Dt-Z3d-Ss7 ?¥¥?¥¥?BLffDiD*XFG1•MLS,741f£ltf -71D SET* D5C^zD-71D9F* USBICJ75B 口 &V*□torU 餡H 》7J03M*:H>-ia?6B0_&V*□M::5>—HQ7EED 57*X4Y3_E V图12.总体设计地最后原理图。
数电汽车尾灯控制电路实验报告

数字电路汽车尾灯控制电路实验报告1. 引言1.1 实验背景汽车尾灯是汽车中重要的安全设备之一,它在夜间或恶劣天气条件下提供后方车辆提示作用,确保行车安全。
掌握数字电路汽车尾灯控制电路的原理和实验方法对于电子工程专业的学生来说至关重要。
1.2 实验目的本实验的目的是通过设计和实现数字电路汽车尾灯控制电路,加深对数电原理的理解,并训练学生的创新思维和动手能力。
2. 设计方案2.1 设计思路本实验中,我们将使用数字逻辑门和时序控制电路来实现汽车尾灯的功能。
通过在适当的时刻点控制LED的亮灭状态,可以实现不同的尾灯显示模式,如刹车灯、示宽灯等。
2.2 实验材料和器件•Arduino开发板•逻辑门集成电路(如74LS08、74LS32等)•LED发光二极管•连接线等2.3 实验步骤1.按照电路图连接电路,将Arduino开发板与逻辑门集成电路相连。
2.根据实验要求,在Arduino开发板上编写程序,通过逻辑门控制LED的亮灭状态。
3.将LED与逻辑门集成电路连接,实现汽车尾灯的显示效果。
4.调试和验证电路的功能,确保尾灯控制电路正常工作。
3. 实验结果与分析3.1 实验过程我们按照上述设计方案进行实验,并在Arduino开发板上编写了相应的程序。
经过调试和验证,我们成功实现了数电汽车尾灯控制电路的功能。
3.2 实验结果我们实现了以下几种尾灯显示模式: 1. 刹车灯:当车辆刹车时,尾灯会快速闪烁。
2. 示宽灯:当车辆转向时,尾灯会交替闪烁。
3. 倒车灯:当车辆倒车时,尾灯会亮起。
4. 位置灯:车辆启动后,尾灯会持续亮起。
3.3 结果分析通过以上实验结果可以看出,我们成功实现了数电汽车尾灯控制电路的功能。
该电路能够根据车辆行驶状态控制尾灯的亮灭状态,达到提醒后方车辆的目的。
4. 实验总结与展望4.1 实验总结通过本实验,我们对数字电路汽车尾灯控制电路有了更深入的了解,掌握了设计和实现该电路的方法和技巧。
同时,我们还培养了动手能力和创新思维,提高了对数字电路原理的理解。
电子技术课程设计汽车尾灯控制电路

电子技术课程设计——汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路一、 设计任务与要求(1) 内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。
(2) 要求:当汽车往前行驶(此时两个都未接通),6盏全灭。
当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。
当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。
二、 总体框图时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。
多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选lfen。
当转到right开关时,输出选rten.当两个都不开时,输出选lR,它是一个清零端。
左右边控制模块是控制它的输出,使它们的输出依次进行。
灯是起到亮灭的作用。
三、选择器件(1)CK起到了给左右两个模块一个脉冲。
(2)CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用。
(3)LEFTP是一个左灯控制模块,是一个沿时作用,它使3个输出灯依次亮灭。
(4)RIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭。
四、功能模块1、(1)时钟脉冲的VHDL语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ck isport(clk:in std_logic;cp:out std_logic);end ck;architecture a of ck issignal cnter:std_logic_vector(7 downto 0);beginprocess(clk)beginif clk'event and clk='1'thencnter<=cnter+1;end if;end process;cp<=cnter(3);end a;(2)时钟脉冲的生成符号CK的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲。
数电课程设计汽车尾灯控制电路

数电课程设计汽车尾灯控制电路汽车尾灯控制电路的设计⼀、设计基本要求:假设汽车尾部左右两侧各有3个指⽰灯(⽤发光⼆极管模拟)1.汽车整车运⾏时指⽰灯全灭;2.右转弯时,右侧3个指⽰灯按右循环顺序点亮;3.左转弯时左侧3个指⽰灯按左循环顺序点亮;4.临时刹车时所有指⽰灯同时闪烁⼆、设计⽅案:1.汽车尾灯显⽰状态与汽车运⾏状态的关系为了区分汽车尾灯的4种不同的显⽰模式,需设置2个状态控制变量。
假定⽤开关J1和J2进⾏显⽰模式控制,可列出汽车尾灯显⽰状态与汽车运⾏状态的关系,如下表所⽰。
2.在汽车⾏驶过程中,汽车的尾灯会根据汽车⾏驶的状态相应的发⽣状态的变化。
假设汽车尾灯左右两侧各有三个指⽰灯,设计⼀个⽤于控制汽车尾灯的电路。
⽅案原理框图如下图所⽰开关控制电路显⽰、驱动电路译码电路计数器{尾灯电路汽车尾灯控制电路原理框图本设计采⽤的⽅案主要由开关控制电路,三进制计数器,译码、显⽰驱动电路组成。
由于汽车左转或右转时,三个指⽰灯循环点亮,所以⽤三进制计数器控制译码器电路顺序输出低电平,从⽽控制尾灯按要求点亮。
三、电路设计步骤:1.时钟脉冲电路由于N555定时器内部的⽐较器灵敏度⽐较⾼,输出驱动电流⽐较⼤,功能灵活,⽽且采⽤差分电路形式,它的振荡率受电源电压和温度的影响⼩,由555定时器构成的多谐振荡器频率⽐较稳定,不易⼲扰;且此电路对秒脉冲的精度要求不是很⾼,所以选⽤有555构成的多谐振荡器做为脉冲电路。
时钟脉冲电路如下图1所⽰:1.时钟脉冲电路555定时器引脚图2. 三进制计数器汽车左或右转弯时由于是三个指⽰灯循环点亮,所以⽤三进制计数器控制译码电路顺序输出低电平,从⽽控制尾灯按要求电路,由此得出在每种运⾏状态下,各指⽰灯与各给定条件的关系,即逻辑功能表如下表所⽰:(0表⽰灯灭,1表⽰灯亮)三进制计数器功能表此计数器由74LS163芯⽚主要构成。
下⾯分别是它的真值表和引脚图:74LS163真值表74LS163引脚图三进制计数器电路图如下图2所⽰:2.三进制计数器电路图3、开关控制电路开关控制电路通过控制开关J1和J2的开通于关断,实现汽车正常⾏驶、左转弯、右转弯和刹车四种状态。
数字电路课程设计汽车尾灯控制电路报告.

沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
其原理参考框图如图1所示。
开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。
三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。
2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。
3.根据技术指标,通过分析计算确定电路和元器件参数。
4.画出电路原理图(元器件标准化,电路图规范化)。
四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。
2.进行实验数据处理和分析。
五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。
分别控制输出的高低电平,以便达到控制各芯片工作的目的。
《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
数电汽车尾灯控制电路课程设计精选全文

可编辑修改精选全文完整版任务书一、题目:汽车尾灯控制电路二、设计目的要求汽车行驶时有正常行驶、左转、右转、和刹车四种情况,设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)。
(1)汽车正常行驶时只是灯全灭;(2)汽车右转弯时,右侧3个灯按右循环顺序点亮;(3)汽车左转弯时,左侧3个灯按左循环顺序点亮;(4)汽车临时刹车时所有指示灯同时闪烁。
三、主要内容及实现的功能电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。
要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
四、主要参考资料课程设计报告一、课题分析汽车尾灯控制电路,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三十汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
二、设计文档(1)汽车尾灯显示与汽车运行状态关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关k1和k0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。
表1. 汽车尾灯和汽车运行状态(2)汽车尾灯控制电路功能描述在汽车左右转弯行驶时由于3个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮三个指示灯。
可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量k1、k0,以及时钟脉冲cp之间关系的功能表如表2所示(表中0表示灭灯状态,1表示灯亮状态。
数字电子技术课程设计汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路随着社会的发展和科技的进步,数字电子技术已经成为现代社会发展的重要基础。
在车辆电子控制领域,数字电子技术也得到了广泛应用,如汽车尾灯控制电路。
本文将围绕数字电子技术课程设计汽车尾灯控制电路进行介绍和分析。
一、设计思路汽车尾灯是车辆常备顺从零配件,灯光作为协调交通规则和保障行车安全的重要部件,在我们的日常生活中扮演着非常重要的角色。
数字电子技术通过数字逻辑与模拟电子技术的基础集成,可以用于控制车辆配件的电路控制。
在本次课程设计中,主要是要探索如何用数字电子技术结合汽车尾灯控制电路的原理,来实现对汽车尾灯的控制。
所设计的汽车尾灯控制电路能够实现开、关、闪烁等常见功能,增强汽车在夜间行驶的安全性。
二、设计实现1、基础材料在开始设计汽车灯控制电路之前,我们需要准备一些基础的材料和工具。
这些材料包括:数字电路实验箱、Transistor、电阻、贴片电容、led 灯、按键开关、跳线等元件。
工具包括:万用表、烙铁、导线剪切器、镊子、吸锡器等。
2、电路原理本次课程设计基于NPN 型晶体管的单灯驱动电路。
NPN 型晶体管有一个基极、一个发射极和一个集电极,其还具有放大电流和开关控制的特性。
通过控制基极电流,实现开关引脚与驱动电源引脚的控制,从而可以实现对LED 灯的控制。
3、电路图设计汽车尾灯控制电路的电路图如下:其中,RTC 是无源谐振,目的是在led 灯熄灭的时候,正常开关的微动触点的弹跳时间,避免led 灯在微动过程中作出爆闪效果,影响谷车正常行驶。
按键开关通过触摸来控制灯的亮度,松开手即可保存亮度调整值。
4、电路操作按键开关按下可调节LED 灯的亮度,长按开关可关闭LED 灯,仿佛就像是一部智能的汽车电子控制系统,简洁易操作。
在使用过程中,需要特别留意安装电路的电瓶的电压大小,以免过大或过低导致短路,烧毁电路。
三、实验结果通过将设计好的电路拼装后,进行了实验测试。
实验的结果表明,设计的汽车尾灯控制电路可以实现开、关和闪烁等控制,且相互之间非常便捷。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
本文由无限求书贡献
doc文档可能在WAP端浏览体验不佳。
建议您优先选择TXT,或下载源文件到本机查看。
一. 概述
汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用.汽车行驶时,会出现正常行驶,左转弯,右转弯,刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态. 设计一个汽车尾灯控制电路,技术指标如下: 假设汽车尾部左右两侧各有 3 个指示灯(用发光二极管模拟) ; 汽车正常运行时指示灯全灭; 汽车左转弯时,左侧 3 个指示灯按左循环顺序点亮; 汽车右转弯时,右侧 3 个指示灯按右循环顺序点亮; 临时刹车时所有指示灯同时闪烁.
二. 方案论证
方案一: 汽车尾灯控制电路主要由 D 触发器逻辑电路,左转,右转控制电路,刹车控制电路构成. 首先将脉冲信号 CLK 提供给 D 触发器逻辑电路. 用三片 D 触发器设计一个逻辑电路可以产生 001,010,100 的循环信号. 将此信号作为左转,右转的原始信号. 设置左转控制开关和右转控制开关. 通过开关的控制将左转,右转的原始信号通过逻辑电路分别输出到左,右的 3 个汽车尾灯上.这部分电路起到信号分拣的作用. 设置刹车控制开关,将脉冲信号 CLK 提供给刹车控制电路. 当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时钟信号 CLK 全部闪烁的功能. 最终得到的信号即可输出到发光二极管上,实现所需功能. 方案一原理框图如图 1 所示.
1
CLK
D
图 1 方案一原理框图
方案二: 汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示,驱动电路构成. 由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮. 首先,设置两个可控制的开关,可产生 0 0,0 1,1 0,1 1 四种状态. 开关置为 0 0 状态时,表示汽车处于正常运行状态. 开关置为 0 1 状态时,表示汽车处于右转弯的状态. 开关置为 1 0 状态时,表示汽车处于左转弯的状态. 开关置为 1 1 状态时,表示汽车处于刹车的状态. 其次,设计电路实现所需达到功能. 三进制计数器可用两片 D 触发器构成. 译码电路可用 3 线—8 线译码器 74LS138 和 6 个与非门构成. 显示,驱动电路由 6 个发光二极管和 6 个反向器构成.
2
方案二原理框图如图 2 所示.
显示,驱动电路
开关控制电路
译码电路
三进制计数器
图 2 方案二的原理框图
最终方案为方案二.
电路设计三. 电路设计
1.时钟脉冲电路由 555 定时器构成的多谐振荡器电路如图 3 所示.
12V Vs 1 28.86k R1
4 8 VCC RST DIS THR TRI CON GND 1 OUT 3
6 57.72k R2
7 8
CP
7 6 2 5
10nF C
10nF Cf 0
LM555CM Timer
图 3 由 555 构成的多谐振荡器
接通电源后,电容 C 被充电,Vc 上升,当 Vc 上升到 2/3Vcc 时,触发器被复位, 此时Vo 为低电平,电容 C 通过 R2 和 T 放电,使 Vc 下降.当 Vc 下降到 1/3Vcc 时, 触发器又被复位,Vo 翻转为高电平.周期 T 为: T=(R1+2R2)Cln2≈0.7(R1+2R2)C 这样,通过控制电容充放电时间,使多谐振荡器产生时钟信号. 2.开关控制电路开关控制电路如图 4 所示.
3
VCC 5V VCC J1 Key = A 10 R1 200? 0 U7B U15B 12 C
G
VCC 5V U3A VCC 74LS136D J2 Key = B
11 R2 200? 0
13 74LS00D 74LS04D U9B D U16A 14 74LS00D CP 74LS10D 图 4 开关控制电路
电路通过控制开关 A,B 的断开和闭合,实现正常行驶,左转弯,右转弯,刹车四种状态. AB 置为 0 0 状态时,表示汽车处于正常运行状态. AB 置为 0 1 状态时,表示汽车处于右转弯的状态. AB 置为 1 0 状态时,表示汽车处于左转弯的状态. AB 置为 1 1 状态时,表示汽车处于刹车的状态. 3.三进制计数器原理图如图 5 所示.
4
VCC 5V
2 ~1PR 4 1 1J 1CLK ~1Q ~1CLR
3 1
4 1Q 15
U2A A
VCC
16 1K
74LS76D 1
3
2
2
U2B
1Q 15
~1PR 4 1 1J 1CLK ~1Q ~1CLR 3 14
B
16 1K
74LS76D
图 5 三进制计数器原理框图
4.译码,显示驱动电路译码,显示驱动电路如图 6 所示.
VCC U4A 74LS00D U5A 9 U10A LED1 23 R3 17 200 5V
A B1 2 C 3 6 G4
5
U1
A B C G1 ~G2A ~G2B Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 15 14 13 12 11 10 9 7
74LS04D U11A LED2 3 10 24 R4 18 16 200 74LS00D 74LS04D 4 U6A U12A 5 LED3 11 25 R5 19 6 7 8 200 74LS04D U13A LED4 R6 12 20 26 200 74LS00D 74LS04D U8A U14A LED5 R7
13 21 27 200 74LS00D 74LS04D U9A U15A LED6 R8 14 22 28 200 74LS00D 74LS04D 74LS00D U7A
0 74LS138D
VCC
图6 译码,显示驱动电路
5
四,性能的测试
利用 Multisim10 进行测试和仿真. 1.当汽车正常行驶时,AB 置为 0 0 状态,指示灯全灭.仿真结果如图 7 所示.
图7
正常行驶仿真结果
2.当汽车左转弯时,AB 置为 1 0 状态,左侧 3 个指示灯按 LED1->LED2->LED3 顺序循环点亮. 仿真结果如图 8 所示.
6
图8
左转弯仿真结果
3.当汽车右转弯时,开关置为 0 1 状态,右侧 3 个指示灯按 LED4->LED5->LED6 顺序循环点亮. 仿真结果如图 9 所示.
7
图9
右转弯仿真结果
4.当汽车刹车时,AB 置为 1 1 状态,所有指示灯全部随着时钟信号闪烁. 仿真结果如图10 所示.
8
图 10
刹车仿真结果
五.结论
电路的主要特点是选用简单常见的元器件,充分利用所学知识. 通过仿真结果可以看出,符合任务书中所要求的性能指标,完成所需功能.
六.性价比
本电路采用的都是简单且常见的元器件, 价格相对便宜, 性能基本符合技术要求. 适用于对技术要求不是十分严格的电路.因此,本电路的性价比较高.
七,课设体会及合理化建议课设体会及合理化建议
这次总的说来收获很大,但在独立设计过程中着实也遇到了不少困难.比如开始时不知用什么逻辑器件使输出为 001,010,100 的循环,以使指示灯按一定的顺序依次点亮, 后经过与同学的讨论最终使问题得到了解决, 我想这也是最吸引我们的地方, 当真正投入时才发现乐在其中. 一开始对软件不熟悉,刚进行上机设计时很不顺手,遇到不少麻烦,经过自己的学习和老师的指导,才完成了电路的设计并成功进行了仿真.
9
参考文献
[1] 刘修文主编. 实用电子电路设计制作.[M]北京:中国电力出版社,2005 年 [2] 朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004 年 [3] 路勇主编.电子电路实验及仿真.[M]北京:北京交通大学出版社,2004 年 [4] 阎石主编. 数字电子技术. [M]北京:高等教育出版社,2006 年 [5] 谢自美主编.电子线路设计实验测试.[M]武汉:华中科技大学
出版社,2006 年 [6] 华满清主编.电子技术实验与课程设计.[M]北京:机械工业出版社,2005 年
10
附录Ⅰ附录Ⅰ总电路图
11
附录Ⅱ附录Ⅱ元器件清单
序号编号名称型号数量
1
R1, R2, R3, R4, R5, R6, 电阻 R7,R8, R9 R10 LED1,LED2, LED3,LED4, LED5,LED6, U2A,U2B, U10A,U11A, U12A,U13A, U14A,U15A, U15B, 电阻电阻
200
8
2 3
28.86k 57.72k
1 1
4
发光二极管
LED
6
5
JK 触发器
74LS76
2
6
非门
74LS04
7
7
U4A,U5A,U6A, U7A,U7B,U8A, 与非门 U9A,U9B
74LS00
8
9 10 11 12
U16A,
与非门直流电源直流电源
74LS10 5V 12V DIPSW1
1 4 1 2
J1,J2,
开关
13 14 15
U17, U1,
U3A,
555 定时器 LM555CM 译码器异或门 74LS138 74LS136
1 1 1
12
1。