《EDA》实验指导书2013-6-1
EDA实验指导书(已改)

10-11学年第二学期《EDA实验指导书》(试用)学时:12班级:机电811、812指导教师:孙立香盐城纺织职业技术学院机电系电子教研室目录实验一 Protel 99 SE 启动、建库、文件环境参数设置 (3)实验二熟悉元器件库,编辑简单原理图 (5)实验三电路原理图设计 (6)实验四原理图元件制作 (9)实验五 PCB 设计基础 (11)实验六 PCB 图元件制作 (12)附加印制电路板设计 (14)实验一 Protel 99 SE 启动、建库、文件环境参数设置一、实验目的1 .熟悉 scH 99 的基本界面。
2 .学会文件环境参数设置。
二、实验内容1. 启动 Protel99SE ,建立名为 MYPRO 的文件夹,并在文件夹中建立名为MYFIRST 的设计数据库文件。
提示:单击桌面上的 Protel99SE 快捷图标,进入 Protel99SE 设计环境。
若环境中已经有设计数据库文件,就执行菜单 File/Close Design 将其关闭。
若环境中没有设计数据库文件,就执行菜单 File/New ,在弹出的窗口中,单击Browse 按钮,在弹出的文件名输入窗口中建立 MYPRO 的文件夹,并在文件夹中建立 MYFIRST 的设计文件。
2. 在练习 1 的基础上,建立名为 FIRSCH 的原理图文件,并进入原理图设计窗口。
提示:执行 File/New 菜单,在弹出的窗口中选择 Schematic Document 图标。
3. 设置原理图的图纸尺寸为 A0 ,去掉可视栅格,去掉标题栏。
提示:在原理图设计环境中,执行菜单 Design/Options ,在弹出的窗口中选择 Sheet Options 页面,在页面右上角的 Standard Styles 下拉框中选择A0 。
4. 把光标设置成大十字,并把光标移动到图纸边沿时的移动速度设置为 Auto Pan Recenter 。
提示:设置光标:在原理图设计环境中,执行菜单 Tools/Preferences ,在弹出的窗口中选择 Graphical Editing 页面,然后在该页面 Cursor/Grid Options 区域的 Cursor Type 下拉列表框中选择 Large Cursor 90 。
EDA实训指导书

EDA实训指导书根据课程教学大纲中实训教学大纲的具体要求,为了更好地开展实训教学工作,特制定本实训指导书。
《EDA实训》是一门实践操作性很强的技术基础课,主要培养学生电子线路设计工作以及对Protues设计软件的掌握能力,并可以独立实现电路原理图设计以及仿真。
教学中除了讲授必要的基本理论,基本知识外,主要是上机实践环节,它对学生掌握基本理论,运用基本知识,训练基本技能,增强实验能力、综合应用能力和创新意识都有着至关重要的作用。
本指导书适用于我院汽电、机电等专业。
一:实验目的学习Proteus仿真环境二:实验原理仿真环境三:实验设备Windows XP 软硬件开发平台Proteus四:实验过程1. Proteus 仿真与分析1、Proteus软件的安装与运行先按要求把软件安装到计算机上,安装结束后,在桌面的“开始”程序菜单中,单击运行原理图(ISIS 7 Professional)或PCB (ARE 7 Professional)设计界面。
ISIS 7 Professional在程序中的位置如图1所示。
图1 ISIS 7 Professional 在程序中的位置2、Proteus 的主界面简介3. 元件的拾取在桌面上选择【开始】→【程序】→“Proteus 7 Professional ”,单击蓝色图标“ISIS 7 Professional ”打开应用程序。
ISIS Professional 的编辑界面如图3所示图3用鼠标左键单击界面左侧预览窗口下的“P”按钮,如图4所示,会弹出“Pick Device”(元件拾取)对话框,如图5所示。
图5 元件拾取对话框ISIS 7 Professional的元件拾取就是把元件从元件拾取对话框中拾取到图形编辑界面的对象选择器中4、下面把元件从对象选择器中放置到图形编辑区中。
用鼠标单击对象选择区中的某一元件名,把鼠标指针移动到图形编辑区,双击鼠标左键,元件即被放置到编辑区中。
EDA实验指导书quartus

河北科技师范学院机电工程学院电子设计自动化(EDA)实验指导书选用教材:《EDA技术实用教程》第三版潘松、黄继业编著电子信息实验室杜殿会2012.4.3《电子设计自动化(EDA)》课程实验指导书使用说明《电子设计自动化(EDA)》实验指导书适用于电子信息工程本科专业和应用电子技术专科专业,共有验证型实验 0 个、综合型实验 0 个、设计型实验 9 个。
其中电子信息工程本科专业实验 18 学时,实验/理论学时比为 18/36 ,包括简单组合电路的设计、加法计数器的设计及显示、用原理图输入法设计8位全加器和 8位十六进制频率计设计等 9 个实验项目。
……。
本实验现有主要实验设备 12 台(套),每轮实验安排学生 24 人,每组 2 人,每轮实验需要安排实验指导教师 2 人。
其他需要说明的内容……实验指导书执笔人:杜殿会实验指导书审核人:目录一、 EDA实验系统主板与芯片管脚对应关系 (3)二、Quartus II软件使用过程操作及实验注意事项: (3)实验一 1位全加器的设计 (6)实验二 8位全加器设计 (9)实验三加法计数器及译码电路设计 0实验四数码管扫描驱动显示电路设计 (3)实验五正弦信号发生器设计 (6)实验六自动售货机程序设计 (9)实验七数字时钟设计 (11)实验八键盘扫描显示电路设计实验九 8位十进制频率计设计 (23)附录:EDA技术实验开发系统ZY11EDA13BE实验箱简介 (30)EDA实验指导书一、EDA实验系统主板与芯片管脚对应关系1、主板系统I/O分配:器件种类为ACEX1K,FPGA芯片型号为EP1K30QC208-2。
2、时钟输入:表2 时钟输入对应芯片引脚号3、模式选择开关拨码开关使用说明:1脚:ON表示数码管SM1-SM8有效,否则无效;2脚:ON表示发光二极管LED1-LED8有效,否则无效;3脚:ON表示发光二极管LED9-LED16有效,否则无效;4脚:ON表示拨位和微动开关KD1/K1-KD8/K8有效,否则无效;5脚:ON表示拨位和微动开关KD9/K9-KD16/K16有效,否则无效;6脚:ON表示AD转换有效,否则无效;7脚:ON表示DA 转换有效,否则无效;8脚:ON表示开关指示灯KL1-KL16有效,否则无效。
EDA实验指导书全

实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。
2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。
步骤一:1、建立工程,设计输入。
选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。
Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。
不作任何选择。
4、完成设置点击“Next”后,完成工程的设定,点击“finish”。
步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。
VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。
《EDA技术》实验指导书

EDA技术实验指导书适用于电子信息工程专业QUARTUS II 8.1软件的使用一、实验目的与要求:1、练习使用QUARTUS II 8.1软件,掌握利用该软件进行简单EDA设计的基本流程;2、完成一个通过拨码开关控制发光二极管亮灭的应用,实验结束后可独立完成思考题。
二、实验环境与器材:1、微机(已安装授权的QUARTUS II 8.1软件)2、EDA/SOPC实验开发系统3、USB Blaster下载线一根三、背景知识与操作流程:QUARTUS II是ALTERA公司推出的EDA开发工具,其前身为MAX PLUS II,目前实验室安装的版本为8.1,利用该软件可进行对可编程逻辑器件的分析、综合、下载等设计。
EDA/SOPC实验开发系统是由北京百科公司生产的一套EDA实验系统,其核心芯片采用ALTERA公司的CYCLONE系列FPGA产品EP1C6Q240C8,同时配备了丰富的外部接口资源,可供学生进行EDA设计实验。
启动QUARTUS后的界面如图1所示,首先需要创建一个工程,具体操作过程如下:图1 QUARTUS软件的启动界面(1)点击File –> New Project Wizard创建一个新工程,系统显示如图2。
图2 工程创建向导的启始页(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3所示;(3)点击Next,若目录不存在,系统可能提示创建新目录,如图4所示,点击“是”按钮创建新目录,系统显示如图5所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5)点击Next,进入设备选择对话框,如图6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8;(6)点击Next,系统显示如图7,提示是否需要其他EDA工具,这里不选任何其他工具;(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图8,在窗口左侧显示出设备型号和该工程的基本信息等。
2013 EDA课程设计指导书(8.29xmh add)

长沙学院课程设计指导书系(部)电子与通信工程专业电气工程及其自动化专业2013年10月6日课程名称:电子设计自动化设计课程编号:主笔人:瞿曌谢明华主审人:冯婉一、课程设计的目的通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。
培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。
二、课程设计的题目题目1:交通灯信号控制器的设计题目2:数字频率计的设计题目3:8位加法器的设计题目4:一个跑表电路的设计题目5:正负脉宽数控调制信号发生器的设计题目6:空调系统状态自动机的设计题目7:8个LED循环显示、计数器的设计题目8:8位减法器的设计题目9:数控分频器的设计题目10:序列检测器的设计题目11:带有偶校验位的数据发生器设计题目12:8位序列信号发生器的设计题目13:带使能和片选端的8∶3线优先编码器设计题目14:带异步清零/置位端的JK触发器设计题目15:4位锁存器设计题目16:32进制同步加法计数器设计题目17:32进制同步减法计数器设计题目18:数字竞赛抢答器的设计题目19:拔河游戏机的设计题目20:彩灯控制器的设计题目21:洗衣机控制器的设计题目22:出租车计价器的设计题目23:可变周期脉冲发生器的设计题目24:音乐播放器的设计题目25:十进制硬件乘法器的设计题目26:篮球比赛电子记分牌设计题目27:模拟停车场管理系统的设计题目28:电风扇自动定时控制器的设计题目29:矩阵键盘键信号检测电路设计题目30:UART接口数据发送模块设计题目31:UART接口数据接收模块设计题目32:按键游戏电路设计题目33:多按键状态识别系统设计题目34:单脉冲产生器设计三、设计内容(主要技术关键的分析、解决思路和方案比较等)1、VHDL语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计资料,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。
EDA实验指导书

在EDA-VI实验箱底板上,采用了CPLD资源整合及与CPU板主控制器之间采用总线互联,其中地址总线和数据总线可以通过4位从CPU板到EDA-VI底板的功能选择位,实现多路复用,来决定是用作总线方式,还是IO方式。
EDA-VI实验用到的管脚资源及功能选择说明如下:一、EDA基本实验用到的管脚资源(约定:如不作特别声明,以下管脚均为E-PLAY-SOPC CPU板上FPGA芯片EP1C12的对应管脚)地址线:BUS_A[0] PIN_128 --8位数据时用,16位数据时BUS_A[0]没用到BUS_A[1] PIN_115BUS_A[2] PIN_114BUS_A[3] PIN_113BUS_A[4] PIN_108数据线:BUS_D[0] PIN_105BUS_D[1] PIN_104BUS_D[2] PIN_101BUS_D[3] PIN_100BUS_D[4] PIN_85BUS_D[5] PIN_84BUS_D[6] PIN_83BUS_D[7] PIN_82BUS_D[8] PIN_86BUS_D[9] PIN_87BUS_D[10] PIN_88BUS_D[11] PIN_93BUS_D[12] PIN_94BUS_D[13] PIN_95BUS_D[14] PIN_98BUS_D[15] PIN_99功能选择:VGA[0] PIN162VGA[1] PIN161VGA[2] PIN164VGA[3] PIN163EP1C12板上4位按键PB[0] PIN127PB[1] PIN126PB[2] PIN125PB[3] PIN124EP1C12板上复位按键RESET PIN131EP1C12板上4位LEDLED[0] PIN165LED[1] PIN166LED[2] PIN167LED[3] PIN168EP1C12板上4位拨码SW[0] PIN4SW[1] PIN5SW[2] PIN6SW[3] PIN7EP1C12板上50MHz晶振输入OSC PIN153EDA-VI底板上IO9到IO16在EP1C12上对应的引脚用于8位LED显示,将L1—L8分别连接到IO9—IO16data[0] PIN_132 IO9data[1] PIN_133 IO10data[2] PIN_134 IO11data[3] PIN_135 IO12data[4] PIN_136 IO13data[5] PIN_137 IO14data[6] PIN_138 IO15data[7] PIN_139 IO16PLL1_OUTn PIN_39 IO1PLL1_OUTp PIN_38 IO2CLK1p PIN_28 IO3CLK1n PIN_29 IO4LED0 PIN_165 IO5 (对应CPU板上的L0—L3)LED1 PIN_166 IO6LED2 PIN_167 IO7LED3 PIN_168 IO8EDA-VI底板上IO_CLK为4位拨码开关SW17—SW20来控制输出40M分频后的可调时钟具体对应如下SW17 — SW20 IO_CLK1 1 1 1 1Hz0 1 1 1 5Hz1 0 1 1 10Hz0 0 1 1 25Hz1 1 0 1 50Hz0 1 0 1 500Hz1 0 0 1 1KHz0 0 0 1 2.5KHz1 1 1 0 10KHz0 1 1 0 20KHz1 0 1 0 50KHz0 0 1 0 200KHz1 1 0 0 500KHz0 1 0 0 2MHz1 0 0 0 5MHz0 0 0 0 20MHzP1—P3未定义P4 10MHz固定时钟(40MHz分频产生)P5 1MHzP6 100KHzP7 5KHzP8 100Hz二、4位功能管脚说明:PORT B EP1C12 left right 对应标识41 —> 162 —> 77 —> 49 —> VGA[0]42 —> 161 —> 78 —> 50 —> VGA[1]43 —> 164 —> 79 —> 51 —> VGA[2]44 —> 163 —> 80 —> 52 —> VGA[3]left表示EDA-VI主板左边的CPLD EPM1270,right表示EDA_VI主板右边的CPLD EPM1270VGA[3..0] 0001 16位拨码开关接到16位数据总线上;0010 左端8个数码管,低8位为7位段总加小数点选取位,高8位为8个数码管com端选取,即如果要选取数码管0,则发送总线值为:11111110 1111 1111,如要选取数码管1,则发送总线值为:1111 1101 11111111.此时所选数码管7段和DP位将全部亮;0101 4X4键盘功能选取,此时只有最低的8位有效,高4位为键盘的4位行扫描输出,低4位为键盘的4位列查询输入;0110 16X16 LED点阵显示功能选取,16位数据总线作为点阵的行值,4位地址对应列值编码,(底板上已经过译码).4位地址分别对应E-Play-SOPC主适配器上外扩总线地址的ADDRESS[4..1];除以上4种状态外的其它状态,均为总线方式操作。
EDA技术实验指导书

数字系统EDA技术实验指导书EDA课程组序言《EDA技术及应用实验指导书》是与理论课程《EDA技术及应用》配套开出的,是电子信息类专业的一门专业实验课程,对电子信息类专业的学生具有非常重要的作用。
本实验课与理论课同时进行,同学们在做本实验之前必须具备以下的基础知识:1、数字电路的基础知识;2、电子计算机常用操作系统的使用方法;主要12、本实验课的目的学生学习完本实验课后,应达到如下的要求:1)能熟练使用本实验的配套EDA软件QuartusⅡ、ModelSim;2)掌握PLD 芯片的基本使用方法,能用现代数字系统的设计方法进行基本的数字系统设计;3)掌握图形编辑和VHDL文本编辑两种设计方法,重点是VHDL文本编辑;4)具备基本的开发能力,为后续学习打下坚实的基础。
实验规则为了维护正常的实验教学次序,提高实验课的教学质量,顺利的完成各项实验任务,确保人身、设备安全,特制定如下实验规则:一、实验前必须充分预习,完成指定的预习内容,并写出预习报告,预习要求如下:1、认真阅读本实验指导书,分析掌握本次实验的基本原理;2、完成各实验预习要求中指定的内容;3、熟悉实验任务。
二、实验时,认真、仔细的写出源程序,进行调试,有问题向指导老师举手提问;调试成功准备下载时,必须请示指导老师,得到允许方可下载。
三、实验时注意观察,如发现有异常现象(电脑故障或实验箱故障),必须及时报告指导老师,严禁私自乱动。
四、实验过程中应仔细观察实验现象,认真记录实验数据、波形、逻辑关系及其它现象,记录的原始结果必须经指导教师审阅签字后,方可离开。
五、自觉保持实验室的肃静、整洁;实验结束后,必须清理实验桌,将实验设备、工具、导线按规定放好,并填写仪器设备使用记录。
六、凡有下列情况之一者,不准做实验:1、没有写预习报告者;2、实验开始后迟到10 分钟以上者;3、实验中不遵守实验室有关规定,不爱护仪器,表现不好而又不服从管理教育者;七、实验后,必须认真作好实验报告,下次实验时交实验指导老师批阅。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
辽东学院自编教材 《可编程逻辑器件原理及应用实验》指导书
李海成 编 (计算机科学与技术、电子信息工程专业用)
姓名: 学号: 班级:
信息技术学院 2013年6月 1
目 录 目 录............................................................................................... 1 实验一 MAX+PLUS-II设计三八译码器 ......... 错误!未定义书签。 实验二 半加器 ........................................................................................ 2 实验三 带进位输入的8位加法器 ........................................................ 4 实验四 数据比较器 ................................................................................ 6 实验五 编码器 ........................................................................................ 9 实验六 组合逻辑电路的设计 .............................................................. 12 实验七 计数器 ...................................................................................... 14 实验八 触发器功能的模拟实现 .......................................................... 17 2 半加器 (被加数)Ai (被加数)Bi (半加和)Hi (本位进位)Ci
实验二 半加器 实验类型: 验证性 实验课时: 2 指导教师: 李海成 时 间:201 年 月 日 课 次:第 节 教学周次:第 周 实验分室: 实验台号: 实 验 员: 一、 实验目的 1.设计并实验一个一位半加器 2.掌握CPLD/FPGA组合逻辑设计基本方法。 二、 实验原理 计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。按照进位是否加入,加法器分为半加器和全加器电路两种。计算机中的异或指令的功能就是求两个操作数各位的半加和。一位半加器有两个输入、输出,如图2-1。
图2-1 一位半加器示意图 表2-1 半加器真值表 输入 输出 Bi Ai Hi Ci 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1
一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式: BiAiBiAiHi BiAiCi
三、 实验连线 半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a、b;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s表示相加结果。 四、 实验记录 状态 输入 正确输出 实验结果 Bi Ai Hi Ci Hi Ci 1 0 0 0 0 2 0 1 1 0 3 1 0 1 0 4 1 1 0 1 3
五、实验注意事项 1. 提前编辑实验程序。 2. 根据教师要求正确操作,并检验逻辑的正确性
六、思考题 1.EDA半加器实现与数字电路设计方法的根本区别。
2.简述EDA设计半加器的不同方法,并比较其优缺点。
3.心得体会及其他。 实验 成绩
项目及比例 实验操作(30%) 报告书写(70%)
得分 成绩合计 教师签字: 批改日期: 4
实验三 带进位输入的8位加法器 实验类型: 验证性 实验课时: 2 指导教师: 时 间:200 年 月 日 课 次:第 节 教学周次:第 周 实验分室: 实验台号: 实 验 员: 一、 实验目的 1. 设计并实现一个8位全加器 2. 掌握EDA中模块调用方法 二、 实验原理 利用实验二构建的半加器构建一位的全加器,然后设计一个8 位的全加器,其框图如图4-1所示。图中的“进位入”Ci-1指的是低位的进位输出,“进位出”Ci即是本位的进位输出。
图 4-1 8位全加器原理图 0 三、 实验连线 全加器的17个输入所对应的管脚同17位拨码开关相连,17个输入管脚是a0~a7、b0~b7和cin a0~a7、b0~b7代表两个8位二进制数,cin代表进位位;9个输出所对应的管脚同9位发光二极管相连,9个输出管脚是sum0~sum7和cout,sum0~sum7代表相加结果,cout代表进位位。
四、 实验记录 输入 实验结果 Ci-1 Bi(7..0) Ai(7..0) Si(7..0) Ci 0 00000000 00000000 0 00000001 „„ 00000000 „„
0 11111110 11111110 0 11111111 11111111 1 00000000 00000000 1 00000001 „„ 00000000 „„
1 11111110 00000000 1 11111111 00000000
(被加数)Bi(7..0) 全加器 (被加数)Ai(7..0) (进位入)Ci-1 (全加和)Si(7..0) (进位出)Ci 5
五、 实验结果分析与思考 1.半加器与全加器的区别。
2.实验设计程序
3实验结果总结 实验 成绩
项目及比例 实验操作(30%) 报告书写(70%)
得分 成绩合计 教师签字: 批改日期: 6
实验四 数据比较器 实验类型: 设计性 实验课时: 2 指导教师: 李海成 时 间:201 年 月 日 课 次:第 节 教学周次:第 周 实验分室: 实验台号: 实 验 员:
一、 实验目的 1.设计并实现一个4位二进制数据比较器 2.掌握数据比较器的构建及其方法 二、 实验原理 二进制比较器是提供关于两个二进制操作数间关系信息的逻辑电路。两个操作数的比较结果有三种情况:A等于B、A大于B和A小于B。 考虑当操作数A和B都是一位二进制数时,构造比较器的真值表见表9-1。输出表达式如下: AEQB=A’B’+AB=(AB)’ A>B=AB’ A表9-1 一位比较器的真值表 输入 输出 A B A=B A>B A0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 1 1 0 0
在一位比较器的基础上,我们可以继续得到两位比较器,然后通过“迭代设计”得到4位的数据比较器。对于4位比较器的设计,我们可以通过原理图输入法或VHDL描述来完成,其中用VHDL语言描述是一种最为简单的方法。下面是一个3位比较器的VHDL描述: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity comp is port(a,b: in std_logic_vector(2 downto 0); sel_f: in std_logic_vector(1 downto 0); q: out Boolean); end; architecture a of comp is begin process(sel_f,a,b) begin case sel_f is when”00” => q <= a=b; when”01” => q <= a7
when”10” => q <= a>b; when others => q <=false; end case; end process; end a; 实验源程序名是comp.vhd。 三、 实验连线 输入信号有A0~A3、B0~B3、CLK和RST,其中A0~A3和B0~B3代表两路相互比较的数,接拨码开关,CLK接时钟,RST接复位端;输出信号有AEQB(A=B)、AGTB(A>B)、ALTB(A
四、 实验记录 同前,对比较器造表,得到其真值表,并分析其运算结果的正确性。
五、 实验结果分析与思考 1.比较器的应用场合。
2.实验设计程序 8
3实验结果总结
实验 成绩
项目及比例 实验操作(30%) 报告书写(70%)
得分 成绩合计 教师签字: 批改日期: