基于Multisim的出租车计费器仿真研究
实验十四出租车计费器

实验十四出租车计费器一、实验任务及要求1.能实现计费功能,计费标准为:按行驶里程收费,起步费为7.00元,并在车行3公里后再按2.2 元/公里,当计费器计费达到或超过一定收费 (如20元)时,每公里加收50%的车费,车停止不计费。
2.实现预置功能:能预置起步费、每公里收费、车行加费里程。
3.实现模拟功能:能模拟汽车启动、停止、暂停、车速等状态。
4.设计动态扫描电路:将车费显示出来,有两位小数。
5.用VHDL语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。
6.各计数器的计数状态用功能仿真的方法验证,并通过有关波形确认电路设计是否正确。
7.完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。
二、实验原理系统顶层框图:车速控制模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY speed ISPORT(clk,reset,start : IN S TD_LOGIC;――clk基本车速,reset复位,start起停开关k : IN S TD_LOGIC_VECTOR(4 downto 0);――车速选择clkout : OUT STD_LOGIC); ――脉冲输出END speed;ARCHITECTURE a OF speed ISSIGNAL count1 : STD_LOGIC_VECTOR(1 downto 0);SIGNAL tempclk,clks : STD_LOGIC;SIGNAL kinside : STD_LOGIC_VECTOR(4 downto 0);BEGINkinside<="00000"-k;――车速越大,脉冲输出频率约高,因此计数周期越小clks_label:PROCESS (reset,clk)variable count2 : STD_LOGIC_VECTOR(4 downto 0);BEGINIF reset='1' THENcount2:="00000";ELSIF clk'event and clk='1' THENif start='1'thenif count2=kinside then count2:="00000"; end if;――计数周期if not (k="00000") then count2:=count2+1; end if;――车速不为0if count2="00001" then tempclk<=not tempclk; end if;――每个计数周期发出一个脉冲END IF;end if;END PROCESS clks_label;clkout<=tempclk;END a;里程计数模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity cdu99 isport ( clk,reset:in std_logic;count1 :out std_logic_vector (3 downto 0);――里程数值的十分位count2 :out std_logic_vector (3 downto 0); ――里程数值的个位count3 :out std_logic_vector (3 downto 0)); ――里程数值的十位end cdu99 ;architecture aa of cdu99 isbeginprocess(clk,reset)variable mm : std_logic_vector (11 downto 0);beginif reset='1' thenmm:="000000000000";elsif clk'event and clk='1' thenif mm(3 downto 0)="1001" then――十六进制转换成十进制mm:=mm+7;else mm:=mm+1; end if;if mm(7 downto 4)="1010" thenmm:=mm+"01100000"; end if;end if;count1<=mm(3 downto 0);count2<=mm(7 downto 4);count3<=mm(11 downto 8);end process;end aa;计费计数模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity count99 isport ( clk,reset:in std_logic;judge2 :in std_logic_vector (3 downto 0);――里程个位judge3 :in std_logic_vector (3 downto 0);――里程十位count1 :out std_logic_vector (3 downto 0); ――计费百分位 count2 :out std_logic_vector (3 downto 0); ――计费十分位 count3 :out std_logic_vector (3 downto 0); ――计费个位 count4 :out std_logic_vector (3 downto 0)); ――计费十位end count99 ;architecture aa of count99 issignal en : std_logic;signal money : std_logic_vector(7 downto 0);signal mcount : std_logic_vector (15 downto 0);money<="00110011" when ((mcount(15)='1') or (mcount(14)='1')or (mcount(13)='1')) else "00100010";――计费模式:0。
基于Proteus的出租车计费器设计

基于Proteus的出租车计费器设计应用Proteus软件,结合数字电路中的脉冲控制原理,设计了基于纯元器件制造的出租车计费器。
仿真实验表明,该设计方案能够实现对起步价、单价进行精确控制,可基本满足出租车计费器的要求。
标签:Proteus;数字电路;出租车计费器1 引言20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,出租车作为人们日常生活中常用的交通工具,计费器[1] [2]的可靠性要求越来越高。
应用Proteus[3]软件,结合数字电路中的脉冲控制原理,设计了使用纯元器件制造的出租车计费器,在可靠程度上得到了大量的提高。
2 系统设计方案2.1 出租车计费器的基本功能出租车计费器能够实现显示和计费的功能。
当里程小于3km时,只收起步价;当里程大于3km时,费用由起步价、等待时间以及行驶路程决定。
同时为适应物价不断变化的现状,将起步价及里程单价设为0~9.9元之间可调,而等待时间收费设为0.8(元/分)。
因此,总费用公式如下:(1)显示功能的主要为显示单价、行驶路程、等待时间和总费用。
记程范围为0~99.99km,记程分辨率为10m。
等待时间范围为0~59’59“,计时分辨率为1s,等待时间在小于3km时不考虑。
单价显示为“x.x”,单位为元,计价分辨率为0.1元。
总费用显示为“xxx.x”,单位为元,计价范围0~999.9元,计价分辨率为0.1元。
当计费器处于空车状态时,计价器显示为起步价。
2.2 总体设计思路出租车计费器的整体结构如图1所示,它包括路程电路、等待电路、显示电路及计价电路四个模块。
路程电路实现了路程的比较、计算、单价以及路程计费的控制。
等待电路实现了等待时间以及等待费用的控制。
显示电路实现了所有功能的显示。
计价电路实现了对起步价的控制以及对总价的计算。
利用了Proteus 的仿真环境,代替实际的电路连接,大大简化了设计过程,加快了设计速度。
出租车计价器控制电路设计 数电课程设计mutl

出租车计价器控制电路设计1.概述在现代社会中,出租车作为一种重要的交通工具,为人们的出行提供了便利。
而出租车计价器作为出租车运营过程中的关键设备,对于计算乘客的车费起着至关重要的作用。
设计一套稳定可靠的出租车计价器控制电路显得尤为重要。
2.需求分析出租车计价器需要能够准确计算乘客的车费,并且需要具备一定的显示功能,可以显示乘客需支付的车费。
计价器还需要具备防伪功能,避免被人为篡改,保证计费的准确性和公正性。
另外,为了确保计价器的使用寿命,需要在设计中考虑到电路的稳定性和耐用性。
3.关键技术在设计出租车计价器控制电路时,需要考虑以下几个关键技术:-显示技术:选择合适的显示屏幕类型,确保显示清晰度和可视角度。
-计算技术:采用高精度的计算芯片,确保计算准确性。
-防伪技术:设计防篡改的电路和程序,保证计费的公正性和准确性。
4.设计思路在设计出租车计价器控制电路时,需要从整体结构和各个功能模块的设计入手。
整体结构上应该分为显示模块、计算模块、控制模块和防伪模块。
针对每个模块进行详细设计,确保各个模块之间的协调和稳定性。
5.电路设计针对上述需求和设计思路,我设计了一套出租车计价器控制电路。
该电路采用单片机作为核心处理器,通过与显示屏、计算芯片和防伪芯片的连接,实现出租车计费、显示和防伪功能。
具体电路设计如下: 5.1 单片机选择:采用高性能、低功耗的单片机芯片,具备强大的计算和控制能力。
5.2 显示屏选择:选择LED显示屏,具备清晰的显示效果和广泛的可视角度。
5.3 计算芯片选择:选择高精度的计算芯片,确保计费的准确性和稳定性。
5.4 防伪芯片选择:选择具备防篡改功能的芯片,确保计费的公正性和安全性。
6.电路测试完成整体电路设计后,需要进行严格的电路测试。
测试内容主要包括计费准确性测试、显示稳定性测试和防伪功能测试。
通过测试,确保整体电路的稳定性和可靠性。
7.总结通过对出租车计价器控制电路的设计,我们可以利用现代电子技术,设计出一套稳定可靠的出租车计价器控制电路。
出租车计价器Multisim实验报告

一、实验目的和要求:(1)学习使用multisim 软件进行电路图绘制并且仿真; (2)学习出租车里程表电路的设计思路;(3)学习霍尔元件的工作原理和方法;(4)掌握数据传输系统的原理和串并转换的实现方法。
二、实验内容和原理:(一)实验内容:设计一个出租车里程表及数字传输系统,并在Multisim 中选择数字器件实现该数字电子系统。
出租车的轮脉冲由霍尔元件产生,假设跟教材上一样轮周长为2米,将里程数用数码管显示,然后将里程数的末两位数码管的值通过下述数字传输系统传送到接收端去并显示:在该数字传输系统中,其发送方的8位二进制数要通过一根1位数据线,传输到其接收方;要求在接收方也用两个数码管对比显示这些8位二进制数(注意:考虑到传输路径上的延迟,接收方的显示可以略为滞后于发送方的显示)。
(二)实验原理:1、系统方案示意方框图如下所示:2、霍尔元件(Hall Effect Sensor )2.1霍尔元件的应用原理霍尔开关电路又称为霍尔数字电路,由稳压器、霍尔片、差分放大器、施密特触发器和输出级组成。
在外磁场的作用下,当磁感应强度B 逐渐增加,超过导通阈值Bop 时,霍尔电路输出管导通,输出低电平,之后B 再增加,仍然保持导通状态。
当外加磁场B 逐渐降低,低于截止阈值Brp 时,输出管截止,输出高电平。
之后,B 再降低,仍然保持截止态。
我们称Bop 为工作点,Brp 为释放点,两者的差BH 称作回差,回差的存在使得霍尔开关电路的抗干扰能力增强。
2.2霍尔元件在Multisim 中的选择霍尔元件位于Misc 元件库 TRANSDUCERS 从OHN3019U 到OHS3175U 均是,可选定元件后按F1键或元件属性对话框中的Help 按钮查看其简要说明,而具体元件型号的Bop (Magnetic Operation Point )和Brp (Magnetic Release Point )参数。
2.3霍尔元件的接法右图1所示的是霍尔元件电路,其1脚接+5V电源正极,3脚通过上拉电阻电阻接到+5V电源正极,如果3脚没有加上拉电阻而悬空时其电压为0,而3脚加上上拉电阻到正电源时其电压固定为+5V,相当于TTL的逻辑高电平。
基于Multisim的出租车计费器仿真研究

基于M u l t i s i m的出租车计费器仿真研究High quality manuscripts are welcome to download电子设计与制作课程设计班级:通信工程姓名:学号:指导教师:设计时间:成绩:评语:电子设计与制作实习报告一、实习目的此次电子设计实习主要为大学四年的专业课起承接作用,完成此次设计有三部分目的。
首先对大学两年来所学的有关电子设计方面专业课进行巩固,将所学的理论知识应用到实践中来,理论联系实际,进一步加深对已学相关专业知识的认识。
其次,通过此次电子设计,熟悉并掌握电子设计电路的一般设计方法,熟悉电子元器件的表示、参数及封装样式。
在设计出租车里程计价表电路过程中,进一步系统地掌握相关专业知识及元件作用,学习利用相关软件进行电子线路的调试,掌握电子电路的仿真及应用仿真软件。
最后,通过此次实习,加强对本专业的了解,通过设计,培养同学们的动手能力,从而为以后学习更加高深的专业知识打好基础。
二、实习时间三、实习内容及过程(一)设计题目出租汽车里程计价表设计与仿真(二)设计目的1、掌握出租汽车里程计价表的设计与仿真;2、熟悉同步十进制系数乘法计数器芯片的工作原理和使用方法;3、掌握计数器、寄存器和译码器及显示电路的原理和使用方法。
(三)设计要求1、设计出租汽车里程计价表电路;2、选用中小规模集成器件;3、具有在线仿真及显示电路。
(四)出租车计费器原理框图出租车计价器根据乘客乘坐时汽车行驶路程的多少计价,并在行驶过程中同步显示车费。
从起步价 3 元开始,汽车里程未满公里时,均按起步价计算。
超过公里,则在起步价基础上按每公里加元计算。
路程和计费可通过十进制加法计数器实现。
要设计一个精度为公里,计费范围为元的计费器,则需有 6 个数码管,前三个用来显示路程,后三个用来显示计价。
起价(X .X 元)可以通过计数器的置数端进行数据预置。
这里行车里程用脉冲信号代替,每来一个脉冲代表公里路程。
基于CPLD的出租车计费器系统的研究与设计

基于CPLD的出租车计费器系统的研究与设计作者:陈乾君叶冬来源:《物联网技术》2013年第06期摘要:针对传统基于单片机设计的出租车计费器系统的诸多不足,提出了一种利用VHDL设计的基于CPLD的出租车计费器系统的设计方案。
该方案模拟了出租车的启动、停止、暂停、换挡等功能,并用动态扫描电路显示出租车所走的里程及其所需要的费用。
所有源程序均在Quartus II9.0下实现编译、仿真,可实现自动计费、自动计程及实时显示等功能。
由于使用CPLD芯片,因而具有外围电路少、灵活、功能强、可靠性高、成本低等优点,可用于实际的出租车计费系统。
关键词:VHDL;CPLD;出租车计费器;Quartus II中图分类号:TP368 文献标识码:A 文章编号:2095-1302(2013)06-0023-020 引言随着科学技术的发展,出租车计费器也经历了一个从低级到高级的发展阶段。
传统的计费器一般由单片机实现,它有诸如硬件电路复杂,外围可扩展的资源有限,可靠性低,功能升级繁琐,需要硬件重组和软件更新同步进行,成本高等缺点。
而用户对计费器的要求越来越高,对于出租车计费器而言,用户不仅要求其性能稳定,计费准确,有防作弊功能,同时还要求其具有车票打印、刷卡付费、语音播报提示、电脑串行通信及税控等功能。
由于具体情况不同,不同国家和地区的出租车收费方式存在差异,即使相同地区,不同车型的出租车收费方式也是有差别的。
传统的单片机已经很难满足这些越来越高的功能和性能上的要求[1]。
为此,我们设计了一款以可编程逻辑器件(CPLD)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以Quartus II9.0开发软件为设计工具的出租车计费器。
这种计费器在实际中不仅成本低、周期短,而且具有设计灵活、修改方便、易于调试、系统可靠性高等一系列优点。
1 系统功能描述不同区域的出租车计费标准不尽相同,对于本文以基于CPLD的方式设计的计费器,如果要适用某个区域的出租车,只需要修改计费标准就可以了,灵活方便而容易实现。
出租车计价器Multisim课程设计

时序逻辑电路的课程设计题目组员:杨天乐闫帅铮艾文杰一、题目:出租车计价器✹设计内容:1)进行需求分析,确定总体框架;✹2)画出逻辑图;✹3)对设计的电路进行仿真;✹设计要求:1)根据出租车上的速度传感器传来的脉冲个数和设置的里程单价来计算对应的总价格,并将总价格通过LED实时显示;✹2)起步价可以设置;✹3)里程单价可以设置;✹4)可以对总价格进行复位,从而为下次计费做好准备;✹设计提示:本设计应主要实现两个功能,显示行驶里程与总价。
行驶里程的计算可由计数器实现,每一个脉冲假设为1Km。
实验系统有标准时钟信号,因此通过分频可以获得脉冲信号。
✹总价格可由可控制条件的累加器实现,然后予以输出。
累加器可由一个加法器和一个可复位的寄存器组成,最后设计译码器,将2位的十六进制转化为BCD码。
二、设计原理及Multisim电路图电路分两部分,一部分用于记录行车里程,一部分用于记录费用。
所用器件:74LS163,74LS283,2输入与门,4输入与门,1输入非门,2输入与非门,4输入与非门,6输入与非门,DCD-HEX-BLUEx6,74LS77。
(1)里程单价:电路有2个时钟,一个用于里程,一个用于价格,单价为第二个时钟与第一个时钟的比值,比如第一个时钟为3HZ,第二个1HZ,则单价为3元,以此来调整价格。
(2)行车里程三个163计数器从左到右依次为个,十,百位计数器,通过:当个位为9时使十位使能;当个位与十位同时为9时使下一个计数器使能。
均采用163接成模十计数器。
(3)价格起步价部分:通过4个与门分别接一根总线接出到个位输出9,其他接起步价0-9,当计数到9时,使起步价无效,则不会产生当起步价为1时,计数不会出现10的情况,其中采用了D锁存器。
整体相当于一个起步价输入的使能端。
具体电路图如下:(4)实例:起步价为0,里程单价为3时:单价为1时:三、Verilog编程。
(完整版)基于51单片机的出租车计价器带仿真及程序毕业设计

毕业论文基于51单片机的出租车计价器带仿真及程序引言现在各个城市出租车行业都已普及,因此出租车计价器的技术已经成熟,但是出租车计价器的市场还具有广阔的前景。
随着城市建设的日益完善,关乎城市面貌的出租车行业也将迅速发展,出租车计价器的的大批量需求也是毫无疑问的,所以未来出租车计价器的市场还是有相当大的潜力的。
本次出租车计价器设计以AT89S52单片机为中心,采用U18霍尔传感器对轮胎转数进行计数,实现对出租车里程的测量,并最终计算出结果。
其中采用寄存器芯片AT24C02使系统在掉电的时候对单价、里程、车轮长度等信息进行存储,采用时钟芯片DS1302来显示时间和在系统需要时进行计时。
输出采用两个4段数码显示管,而且根据按键有空车指示灯、等待查询指示灯、单程指示灯进行指示。
汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。
它关系着交易双方的利益。
具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。
因此,汽车计价器的研究也是有一定的应用价值的。
1 绪论1.1 出租车计价器概述我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。
随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。
出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。
随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。
本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。
而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。
1.2 单片机的概述计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子设计与制作课程设计班级:通信工程姓名:学号:指导教师:设计时间:成绩:评电子设计与制作实习报告一、实习目的此次电子设计实习主要为大学四年的专业课起承接作用,完成此次设计有三部分目的。
首先对大学两年来所学的有关电子设计方面专业课进行巩固,将所学的理论知识应用到实践中来,理论联系实际,进一步加深对已学相关专业知识的认识。
其次,通过此次电子设计,熟悉并掌握电子设计电路的一般设计方法,熟悉电子元器件的表示、参数及封装样式。
在设计出租车里程计价表电路过程中,进一步系统地掌握相关专业知识及元件作用,学习利用相关软件进行电子线路的调试,掌握电子电路的仿真及应用仿真软件。
最后,通过此次实习,加强对本专业的了解,通过设计,培养同学们的动手能力,从而为以后学习更加高深的专业知识打好基础。
二、实习时间三、实习内容及过程(一)设计题目出租汽车里程计价表设计与仿真(二)设计目的1、掌握出租汽车里程计价表的设计与仿真;2、熟悉同步十进制系数乘法计数器芯片的工作原理和使用方法;3、掌握计数器、寄存器和译码器及显示电路的原理和使用方法。
(三)设计要求1、设计出租汽车里程计价表电路;2、选用中小规模集成器件;3、具有在线仿真及显示电路。
(四)出租车计费器原理框图出租车计价器根据乘客乘坐时汽车行驶路程的多少计价,并在行驶过程中同步显示车费。
从起步价 3 元开始,汽车里程未满公里时,均按起步价计算。
超过公里,则在起步价基础上按每公里加元计算。
路程和计费可通过十进制加法计数器实现。
要设计一个精度为公里,计费范围为元的计费器,则需有 6 个数码管,前三个用来显示路程,后三个用来显示计价。
起价(X .X 元)可以通过计数器的置数端进行数据预置。
这里行车里程用脉冲信号代替,每来一个脉冲代表公里路程。
出租车计费器主要由路程计数电路、比较器电路、计费电路、显示电路和时钟脉冲信号源等组成,其原理框图如图:图一出租车计价器设计原理框图(五)出租汽车里程计价表核心器件介绍1、74LS16074LS160是中规模集成同步十进制加法计数器,具有异步清零和同步预置数的功能。
使用74LS160通过置零法或置数法可以实现任意进制的计数器。
(1)异步清零:当RD=1时,Q0=Q1=Q2=Q3=0;(2)同步预置:当LD=1时,在时钟脉冲CP上升沿作用下,Q0=D0,Q1=D1,Q2=D2,Q3=D3。
(3)锁存:当使能端EP·ET=0时,计数器禁止计数,为锁存状态。
(4)计数:当使能端EP=ET=1时,为计数状态。
图二 74LS160逻辑功能图图三 74LS160引脚图2、7485数字比较器图四数字比较器引脚图数字比较器:对两个位数相同的二进制数进行比较,并判定其大小关系的逻辑电路。
U1DCD_HEX_DIG_GREEN U2DCD_HEX_DIG_GREEN U3DCD_HEX_DIG_GREENGNDV1200 Hz5 V S1U474LS160NQA 14QB 13QC 12QD11RCO 15A 3B 4C 5D6ENP 7ENT10~LOAD 9~CLR 1CLK2VCC5VS2U574LS160NQA 14QB 13QC 12QD11RCO 15A 3B 4C 5D6ENP 7ENT 10~LOAD 9~CLR 1CLK2VCC5VS3U674LS160N QA 14QB 13QC 12QD11RCO 15A 3B 4C 5D6ENP 7ENT 10~LOAD 9~CLR 1CLK2VCC5VS4键 = 空格GNDVCC5VU77485NA213B214A112B111OAGTB 5A010B09A315B31OAEQB 6OALTB7AEQB 3ALTB2AGTB 4U87485NA213B214A112B111OAGTB 5A010B09A315B31OAEQB 6OALTB7AEQB 3ALTB2AGTB 4U97485NA213B214A112B111OAGTB 5A010B09A315B31OAEQB 6OALTB7AEQB 3ALTB2AGTB 4S5S6U10A74S04DU1174LS160NQA 14QB 13QC 12QD11RCO 15A 3B 4C 5D6ENP 7ENT 10~LOAD 9~CLR 1CLK2U1274LS160NQA 14QB 13QC 12QD11RCO 15A 3B 4C 5D 6ENP 7ENT 10~LOAD 9~CLR 1CLK2U1374LS160NQA 14QB 13QC 12QD11RCO 15A 3B 4C 5D6ENP 7ENT10~LOAD 9~CLR 1CLK2DCD_HEX_DIG_GREEN DCD_HEX_DIG_GREEN DCD_HEX_DIG_GREENS7S8S9V2400 Hz5 VGND U17A 74LS136DS10GNDVCC5V VCC5VVCC5VVCC5VVCC5VVCC5V 3、 拨码开关用来操作控制的地址开关,采用的是0/1的二进制编码原理。
每一个键对应的背面上下各有两个引脚,拨至ON 一侧,这下面两个引脚接通;反正则断开。
这四个键是独立的,相互没有关联。
此类元件多用于二进制编码。
可以设接通为1;断开为0,则有: 0000、0001、0010、……、1110、1111一共是16种编码。
图五 拨码开关(六) 总原理图图六 出租车计价器设计总原理图(七)单元电路设计及原理分析1、初始值设定计费器的所有清0功能通过开关 S4 完成。
初始值设定部分包括里程置数和起价置数两部分。
里程置数由开关 S5 和 S6完成;起价置数部分由比较器 U9 和反相器 U10A 产生置数信号,由开关 S10和 U11(74LS160N)进位输出接入异或门 U17A 产生置数脉冲,从而使U12(74LS160N)获得起价并通过数码管显示。
2、汽车行驶路程计数主要由计数器74LS160N和数码管组成。
用74LS160N芯片(U4~U6)的级联进行路程累加。
为了简化设计,这里汽车行驶路程用脉冲信号表示,每个脉冲表示公里路,通过数码管显示出来并且数码管最后一位表示小数。
3、路程比较电路路程比较电路主要由三片 7485N(U7~U9)数值比较器和预置输入端组成。
当行程小于时,U9 的 5 脚 OAGTB(>)输出为 0 ,从而使计数器 U11 、U13 处于保持状态;7 脚 OAGTB(<)输出为1 ,经反相后变为0加到 U12的9 脚LOAD ,从而使计费器一直显示起步价。
当行程大于时,U9 的 5脚 OAGTB(>)输出为 1 ,从而使计数器U11 、U13 处于可计数状态; 7脚 OAGTB(<)输出为 0 ,经反相后变为 1,这样 U12 的 9 脚没有置数信号也处于可计数状态。
4、计费电路主要由三片 74LS160N (U11~U13)级联与数码管组成。
当路程小于公里时显示器起步价。
当里程大于公里时,在起步价基础上,按每超过公里路增加元费用计算。
(八)仿真结果与分析1、行程小于公里仿真结果当行程小于等于公里时,U9 的7 脚输出 1,通过反相器 U10A 后变为0,这样 U12 置数端 9 脚为有效的置数信号 0 ,使 U12 处于置数状态,在时钟脉冲下降沿作用下,将并行数据输入端的 0011(十进制数 3)送到计数器输出端,从而使数码显示器显示 3元。
由于 U11 、U13 的计数使能端ENP、ENT 与 U9 输出端 5 脚相连,其输出为 0,这样 ENP=ENT=0,使得 U 11 、U 13 处于保持状态,于是计费显示器一直显示 3 元。
其仿真结果如图:图七行程小于公里仿真结果图2、行程大于公里仿真结果当行程大于公里时,比较器7485N 得到比较结果 A > B, U9 的 7 端输出为 0,通过反相器使得 U12 的 9 脚为1,置数无效,U12 处于可计数状态,其计数工作过程为:U11 输出 1001 前进位输出为 0 加在异或门输入端,而开关 S10 加在异或门输入端的逻辑值为1,这样异或门输出 1;当 U11 为 1001时进位输出为 1 ,由于异或门输入均为 1,因而输出为 0,这样使得 U12 的时钟脉冲端 2 脚正好得到一个下降沿而计数。
另外,U 9 的 5 脚输出 1 ,此时与 5 脚相连的 U11、U13 的使能端ENP = ENT = 1,使 U11、U13 处于计数状态。
其仿真结果如图:图八行程大于公里仿真结果图四、实习总结及体会(一)组员分工(二)实习总结(三)实习心得体会(四)参考文献[1]周来秀. 基于Multisim的仿真研究[R].TN79.湖南:中国科技信息.2011[2]黄培根. Multisim10虚拟仿真和业余制版实用技术[M] .北京:电子工业出版社.2008。