数字电子技术实验讲义(电13)

数字电子技术实验讲义(电13)
数字电子技术实验讲义(电13)

……………………………………………………………精品资料推荐…………………………………………………

数字电子技术

实验指导书

杨延宁编

延安大学信息学院

2015年5月

前言

数字电路是一门理论性和技术性都较强的技术基础课,实验是本课程的重要教学环节,必须十分重视。

本实验讲义是为通信工程专业学生作数字电路实验而设计和编写的。编写时考虑了本专业的现行计划学时、所用教材内容及后续课程内容等。本讲义编写了八个实验,每个实验计划用时180分钟。

一、数字电路实验目的

1、验证、巩固和补充本课程的理论知识,通过理论联系实际,进一步提高分析和解决问题的能力。

2、了解本课程常用仪器的基本原理、主要性能指标, 并能正确使用仪器及熟悉基本测量方法。

3、具有正确处理实验数据、分析实验结果、撰写实验报告的能力,培养严谨、实事求是的工作作风。

二、实验准备要求

实验准备包括多方面,如实验目的、要求、内容以及与实验内容有关的理论知识都要做到心中有数,并要写好预习报告。预习报告可以简明扼要地写一些要点,而不需要按照什么格式,只要自己能看懂就行。内容以逻辑图与电路图(连线图)为主,附以文字说明或必要的记录实验结果图表。在预习报告中要求将逻辑图与连线图同时画出,这是因为,只有逻辑图则不利于连接线路,而只有连线图则反映不出电路逻辑图。在实验过程中一旦出了问题,不便进行理论分析。特别当电路较复杂时还应将逻辑图与连线图结合起来。

三、数字电路实验中的常见故障及排除

数字电路实验过程的第一步,一般都是连接线路,当线路连接好后,就可以加电进行试验。若加电后电路不能按预期的逻辑功能正常工作,就说明电路有故障,产生故障的原因大致有以下几个方面:

1、电路设计错误。

2、布线错误。

3、集成块使用不当或功能不正常。

4、接触不良。

5、电源电压不符合要求。

在我们的实际实验过程中,故障最多的情况当属接触不良和布线错误。为了使实验能顺利进行,减少出现故障的可能性,实验过程必须做到仔细、认真、有步骤地进行。并注意以下几点:

1、插集成元件时,应注意校准其所有引脚,使其端、直、等距。然后慢慢插入实验板,以免用力过猛而折断或弯曲集成元件的引脚。并注意集成元件方向,以免倒插。双列直插式集成元件一端具有半圆形定位标记,其下方为第1引脚,上方为最后一个引脚,引脚序号以逆时钟方向递增。

2、在布线之前,最好先对实验所用集成元件进行逻辑功能测试,这样就可以避免在实验中因元件功能不正常而产生电路工作不正常。实际上预先检查元件的逻辑功能并不需花费多少时间。

3、布线所用导线为单芯直径约0.6nm的导线,布线时注意导线不要垮接在集成元件的上面,也不要使其交叉连接在空中搭成网状,而应使导线贴近实验板连接,沿水平和垂直两个正交方向走向。

4、布线时应有顺序地进行,以免漏接。连接时,首先连接固定电平的引脚,如电源正负极、门的多余输入端、工作过程中保持高电平或低电平的置位、复位和选通端等。然后再按照信号流向顺序依次布线。

5、对于使用集成元件较多的大型实验,应分块连接,调试,最后总体连接。

在实验电路设计正确的情况下,布好线又经检查后,一般出问题的机率是不多的。并且数字电路中的故障一般比模拟电路中的故障较易检查和排除。对于实验中出现的故障进行排除时,要保持头脑冷静,有分析地逐步进行,避免抱着侥幸心理乱碰,或在几分钟内找不到故障所在,则束手无策,甚至把连线全部拨掉,从头开始,这样太浪费时间。

下面推荐两种排除故障的方法:

1、逻辑分析法。接通电源后,置电路于初始状态,并用单步工作方式给电路输入信号,观察电路工作情况,如有问题,则不要急于检查,而应继续给电路以不同的输入,记录电路的输出或状态。由此可得电路的一张真值表或状态转换表。然后与正确的情况进行比较分析,从而判断故障的性质、原因及所在位置。

2、逐级追查法。逐级追查法即根据电路的逻辑图顺序检查各级的输入与输出。其方向既可以由输入至输出逐级检查,也可以由输出到输入逐级检查。

目录

实验一组合逻辑电路设计(SSI)............................实验二编码器与译码器...................................实验三全加器及其应用...................................实验四数据选择器及应用.................................实验五时序电路的测试与设计............................

实验六集成计数器.......................................实验七节日彩灯设计.....................................实验八六人抢答器设计.................................

附录一数字实验箱简介....................................附录二半导体集成电路型号命名法...........................附录三集成电路引脚图....................................

实验一 组合逻辑电路设计(SSI)

一、实验目的

1、掌握组合逻辑电路的一般设计方法。

2、了解多输出组合逻辑电路设计技巧。

二、实验原理

逻辑电路分为组合逻辑电路和时序逻辑电路两种,组合逻辑电路设计则是各种逻辑设计的基础。组合逻辑电路的设计步骤为:

1、根据实际命题作数学抽象列出真值表。

2、由真值表列函数表达式。

3、化简逻辑函数并根据所用的器件变换逻辑函数形式。

4、画逻辑图。

在采用小规模集成电路SSI 的情况下,电路设计的最佳标准为:

1、使用的逻辑器件数要最少,这就要求逻辑函数中的项数最少。

2、逻辑网络中的连线数要最少,这就要求逻辑函数各个项的变量数要最少。

在设计多输出函数时,为了达到上述二标准,应使化简后的函数中包含更多的公共项。

三、实验仪器及材料

稳压电源一台

74LS00 二块

74LS10 一块

74LS86 一块

74LS04 一块

四、实验内容

1、设计一个将8421BCD 码转换为余3BCD 码的代码转换器,所用器件为一块74LS04,两块74LS00,一块74LSl0,写出设计过程,画出逻辑图、连线图,测试其功能。

2、用一块74LS86设计一个四位二进制码的原反码转换电路,输入为A :

A 3A 2A 1A o 和控制端M,当M 为0时,输出为原码A 3A 2A 1A o ;当M 为1时输出为反码0123A A A A 画出逻辑图、连线图,并测试其功能。

8421BCD码与余3码转换真值表

实验二 编码器与译码器

一、实验目的

1.了解译码器、编码器的工作原理及其逻辑功能。

2.掌握译码器、编码器的扩展方法及在多输出组合函数设计中的典型应用。

二、实验仪器与器材

1.数字逻辑实验仪1台

2.数字万用表1块

3.直流稳压电源1台

4.74LS8148两块,74LS00一块,74S138两块,74S20一块

三、 预习要求

1.复习编码器、译码器的工作原理。

2.熟悉编码器与译码器的扩展方法及典型应用。

3.熟悉74LS148、74LS138的外形及引脚排列。

四、实验原理

1.编码器原理

数字电路处理、存储及传输的信息是二进制码,而人们在自然界中遇到并习惯的则为非二进制信息。因此就需要有一种将非二进制信息转化为二进制信息的电路。

给每个表示信息的符号按一定的规律赋于二进制码的过程称为编码。如在计算机中将十进制数0~9、大小写英文字母、各种运算符和各种控制符按一定规律编为二进制码,称为ASCII 码;在程控电话中,将话音信号采样、量化后编为二进制码;在各种数字测量仪表中,将被测量信号转化为二进制码,等等。在数字系统中,编码占有很重要的地位。

实现编码功能的电路即编码器。编码器的逻辑符

号如图2-1所示。图的左边为输入线,每一条输入线

代表一个数符或字符,图的右边为输出线,全部输出

线输出的每种组合对应于一条输入线上数符或字符的

二进制码。在同一时刻,编码器的m 条输入线只允许

其中一条线上有信号。编码器输入端数m 与输出端数n

之间的关系为m ≤2n 。

由于编码器不允许同时两条输入线上有信号,否则会引起逻辑混乱。为此人们

设计了优先编码器。如8线-3线优先编码器(74LS148)。10线-4线8421BCD 码优先编码器(74LS147)。

下面具体介绍8线-3线优先编码器(74IS148)

图2-2为74LS148的逻辑符号,表2-1为它的逻辑功能表。其中S 为选通输入端,s Y 为选通输出端, EX Y 为扩展端, s Y 和EX Y 用于扩展编码功能,所有输入端和输出端都是低电有效。S 为低电时,本集成块选通,编码器正常工作,否则所有输出端均被封锁,输出高电平。只有当本集成块选通,且无输入时,

S =0, 0I ,1I 、2I 、3I 、4I 、5I 、6I 、7I 全为高电平时, s Y 有效,说明本片无输入;当S =0, 0I ~7I 只有一个为零时, EX Y =0表示本片有输入。根据表3-1可得输出端函数表达式:

S I I I I I I I I I I Y ?+++=)(76564364210

S I I I I I I I I Y ?+++=)(765435421

S I I I I Y ?+++=)(76542

S I I I I I I I I Y S ?=)(76543210 S S I I I I I I I I Y EX ??=)(76543210 最后指出,优先编码器还被广泛应用于各种优先控制系统中。如计算机中的优先中断控制电路,

核电站优先报警系统等。

2.译码器原理

图2—2 译 码 器 1 2 m 20 21

2n 图2—3

· · · · · ·

译码是编码的逆过程,它是将二进制码按它原意翻译成相应的输出信号。

实现译码功能的电路称为译码器,其逻辑符号如图2-3所示。译码器输入的是二进制码,输入线数n为二进制码的位数。输出的每条线与一组二进制码相对应,或者说每个输出函数是n个输入变量的一个最小项,故译码器有时被称为最小项发生器。译码器输入端数n与输出端数m之间的关系为m≤2n。

在中规模集成电路中,有3线-8线译码器,4线-16线译码器,它们属于全译码,m=2n。还有4线-10线8421BCD十进制译码器,属于部分译码。另外,还有另一类完全不同的数字显示译码器,其MSI有BCD八段显示译码器和BCD七段显示译码器两类。

下面着重介绍3线-8线译码器74LS138,其逻辑图如图2—4所示,表2-2给出了74LS138的逻辑功能。译码器被广泛应用于数据分配器、时钟脉冲分配器、数码显示和存储器系统的地址译码器等方面。

图2—4

五、实验内容

1.用2块8线-3线优先编码器74LS148实现16线-4线优先编码器。

①画出逻辑图,说明扩展后输出为原变量还是反变量;

②画出连线图,并依此在实验板上搭线;

③输入用0-1开关,输出用LED显示。验证电路的正确性,并做出记录。

2.用两块74LS138实现4线-16线全译码。

①画出逻辑图

②画出连线图,并依此在实验板上搭线。

③输入用0-1开关,输出用LED显示。验证电路的正确性,并做出记录。

3. 用一块74LS138和一块74LS20设计二输出组合逻辑函数,输入为三位二进

数字电子技术实验讲义

实验一示波器与数字电路实验箱的使用及门电路 逻辑功能测试、变换(验证) 一、实验目的: 1、熟悉示波器及数字电路实验箱的使用 2、验证门电路的逻辑功能 3、掌握门电路的逻辑变换 二、实验仪器及器材 1、Vp—5225A—1 2、数字电路实验箱 3、器件:74LS00(二输入与非门)、74LS02(或非门)、74LS86(异或门) 说明:1)以上三个门电路中的V CC接电源电压,GND接地。 2)A、B为输入端,Y为输出端,指示灯亮为高电平,灯灭为低电平。 3)实验时,检查导线是否折断,方法:一端接电源,一端接指示灯。 三、实验内容: 1、熟悉示波器各旋钮的功能作用并学会正确使用。 2、熟悉数字电路实验箱并正确使用。 3、时钟波形参数的测量 1)测量脉冲波形的低电平和高电平。(取f=1KHZ) 2)测量脉冲的幅度(V OM),脉宽(T P),周期(T)。(取f=1KHZ) 3)用示波器调出频率f=2KHZ的波形图,并画出波形图。 4、门电路逻辑功能测试 74LS00(二输入与非门)、74LS02(或非门)、74LS86(异或门) 5、用与非门(74LS00)实现其它门电路的逻辑功能 1)实现或门逻辑功能:写出转换表达式,画出电路图并验证功能。 2)实现异或门逻辑功能:写出转换表达式,画出电路图并验证功能 四、数据记录及处理: 1、脉冲波形参数的测量 1)V H=?V L=? 2)V OM=?T P=?T=? 3)画出频率f=2KHZ的波形图 2、门电路逻辑功能测试

74LS00 与非门74LS02 或非门74LS86 异或门 1)写出逻辑表达式的变换 A+B= 2)画出电路图 3)功能测试 4、用与非门74LS00实现异或门的逻辑功能 1)写出逻辑表达式的变换 A B= 2)画出电路图 3)功能测试 五、注意事项: 1、示波器的辉度不要太亮。 2、V/DIN衰减开关档应打得合适。 3、插入芯片时,应注意缺口相对,否则就错了。 4、接线时,注意检查电源、地线是否接正确。 六、思考题: 在给定的器件中,自己选择一个器件并设计电路,使输入波形与输出波形反相,用示波器观察。 七、小结

参考答案模拟电子技术实验指导书

实验一常用电子仪器的使用 一、实验目的 1?熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使 用方法。 2?学习使用低频信号发生器和频率计。 3?初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图 1 —1所示。接线时应注意,为防止外 界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 交流奄伏表直流稳压电源 图1—1模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。 通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值u m、周期T (或频率f) 和初相;脉冲信号的波形参数是幅值4、周期T和脉宽T P。幅值U、峰峰值U P-P和有效值都可表示正弦量 U m、 1 的大小,但用示波器测U P-P较方便(用万用表交流电压档测得的是正弦量的有效值U斗)。由于频率f=丄, V2 T 所以测出周期T,即可算得频率。矩形脉冲电压,可用周期T,脉宽T P和幅值Un三个参数来描述。T P与T 之比称为占空比。 三、实验内容和步骤 1 .检查示波器

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电力电子技术A实验讲义

实验四三相半波可控整流电路的研究一.实验目的 了解三相半波可控整流电路的工作原理,研究可控整流电路在电阻负载和电阻—电感性负载时的工作情况。 二.实验线路与原理 三相半波可控整流电路用三只晶闸管,与单相电路比较,输出电压脉动小,输出功率大,三相负载平衡。不足之处是晶闸管电流即变压器的二次电流在一个周期内只有1/3时间有电流流过,变压器利用率低。 实验线路见图4-1。 1) 电源控制屏位于MEL-002T; 2) L平波电抗器位于NMCL-331挂件; 3) 可调电阻R位于NMEL-03/4挂件 4) G给定(Ug)位于NMCL-31调速系统控制单元中; 5) Uct位于NMCL-33F挂件; 6) 晶闸管位于NMCL-33F挂件。 图4-1 三.实验内容

1.研究三相半波可控整流电路供电给电阻性负载时的工作情况。 2.研究三相半波可控整流电路供电给电阻—电感性负载时的工作情况。 四.实验设备与仪表 1.教学实验台主控制屏 2.触发电路与晶闸主回路组件 3.电阻负载组件 4.示波器 五.注意事项 整流电路与三相电源连接时,一定要注意相序。 六.实验方法 1. 三相半波可控整流电路带电阻性负载。 合上主电源,接上电阻性负载R。 ⑴改变给定电压U g,观察在不同触发移相角α(30°、60°)时,可控整流电路的输出电压U d的波形,并记录相应的U d、I d 值。 ⑵改变给定电压U g,当α=30°时,记录晶闸管A、K间端电压U VT=f(t)的波形。 2. 三相半波可控整流电路带电阻—电感性负载。 接入的电抗器L=700mH。 ⑴改变给定电压U g,观察在不同触发移相角α(30°、60°)时,可控整流电路的输出电压U d的波形,并记录相应的U d、I d 值。 ⑵改变给定电压U g,当α=30°时,记录晶闸管的端电压U VT=f(t)(电阻性负载、电阻—电感性负载)、I d=f(t)(电阻—电感性负载)的波形。 实验方法的具体内容,可参照表4进行。 七. 实验报告

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术实验讲义(电13)

……………………………………………………………精品资料推荐………………………………………………… 数字电子技术 实验指导书 杨延宁编 延安大学信息学院 2015年5月

前言 数字电路是一门理论性和技术性都较强的技术基础课,实验是本课程的重要教学环节,必须十分重视。 本实验讲义是为通信工程专业学生作数字电路实验而设计和编写的。编写时考虑了本专业的现行计划学时、所用教材内容及后续课程内容等。本讲义编写了八个实验,每个实验计划用时180分钟。 一、数字电路实验目的 1、验证、巩固和补充本课程的理论知识,通过理论联系实际,进一步提高分析和解决问题的能力。 2、了解本课程常用仪器的基本原理、主要性能指标, 并能正确使用仪器及熟悉基本测量方法。 3、具有正确处理实验数据、分析实验结果、撰写实验报告的能力,培养严谨、实事求是的工作作风。 二、实验准备要求 实验准备包括多方面,如实验目的、要求、内容以及与实验内容有关的理论知识都要做到心中有数,并要写好预习报告。预习报告可以简明扼要地写一些要点,而不需要按照什么格式,只要自己能看懂就行。内容以逻辑图与电路图(连线图)为主,附以文字说明或必要的记录实验结果图表。在预习报告中要求将逻辑图与连线图同时画出,这是因为,只有逻辑图则不利于连接线路,而只有连线图则反映不出电路逻辑图。在实验过程中一旦出了问题,不便进行理论分析。特别当电路较复杂时还应将逻辑图与连线图结合起来。 三、数字电路实验中的常见故障及排除 数字电路实验过程的第一步,一般都是连接线路,当线路连接好后,就可以加电进行试验。若加电后电路不能按预期的逻辑功能正常工作,就说明电路有故障,产生故障的原因大致有以下几个方面:

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电力电子技术实验指导书

实验一单结晶体管触发电路及示波器使用 班级学号姓名 同组人员 实验任务 一.实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用。 2.掌握单结晶体管触发电路的调试步骤和方法。 3.详细学习万用表及示波器的使用方法。 二.实验设备及仪器 1.教学实验台主控制屏 2.NMCL—33组件 3.NMCL—05E组件 4.MEL—03A组件 5.双踪示波器(自备) 6.万用表(自备) 7. 电脑、投影仪 三.实验线路及原理 将NMCL—05E面板左上角的同步电压输入接SMCL-02的U、V输出端,触发电路选择单结晶体管触发电路,如图1所示。 图1单结晶体管触发电路图 四.注意事项 双踪示波器有两个探头,可以同时测量两个信号,但这两个探头的地线都与示波器的外

壳相连接,所以两个探头的地线不能同时接在某一电路的不同两点上,否则将使这两点通过示波器发生电气短路。为此,在实验中可将其中一根探头的地线取下或外包以绝缘,只使用其中一根地线。当需要同时观察两个信号时,必须在电路上找到这两个被测信号的公共点,将探头的地线接上,两个探头各接至信号处,即能在示波器上同时观察到两个信号,而不致发生意外。 五.实验内容 1.实验预习 (1)画出晶闸管的电气符号图并标明各个端子的名称。 (2)简述晶闸管导通的条件。 (3)示波器在使用两个探针进行测量时需要注意的问题。 2. 晶闸管特性测试 请用万用表测试晶闸管各管脚之间的阻值,填写至下表。 + A K G - A K G 3.单结晶体管触发电路调试及各点波形的观察 按照实验接线图正确接线,但由单结晶体管触发电路连至晶闸管VT1的脉冲U GK不接(将NMCL—05E面板中G、K接线端悬空),而将触发电路“2”端与脉冲输出“K”端相连,以便观察脉冲的移相范围。 合上主电源,即按下主控制屏绿色“闭合”开关按钮。这时候NMCL—05E内部的同步变压器原边接有220V,副边输出分别为60V(单结晶触发电路)、30V(正弦波触发电路)、7V(锯齿波触发电路),通过直键开关选择。 合上NMCL—05E面板的右下角船形开关,用示波器观察触发电路单相半波整流输出(“1”),梯形电压(“3”),梯形电压(“4”),电容充放电电压(“5”)及单结晶体管输出电压(“6”)和脉冲输出(“G”、“K”)等波形,并绘制在下图相应位置。

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

模拟电子技术实验指导书

实验一 基尔霍夫定律的验证 基尔霍夫定律是电路的基本定律,它适用于任何集总参数电路。任意一个集总参数电路中各支路电流以及每个元件两端的电压都应该能够满足基尔霍夫电流定律(KCL)和基尔霍夫电压定律(KVL)。 2.1.1 基尔霍夫定律的基本原理 1. 基尔霍夫电流定律 基尔霍夫电流定律描述电路中各电流的约束关系,又称为节点电流定律。基尔霍夫电流定律(KCL )指出:在集总参数电路中,任意时刻,对任意结点流出(或流入)该结点电流的代数和等于零,即: ∑==m k t i 1 0)(,或∑∑出 入 =i i (2.1.1) 基尔霍夫电流定律(KCL )不仅适用于 电路节点,还可以推广运用于电路中包围多 个结点的任一闭合面。例如,如图2.1.1所示, 封闭面所包围的局部电路,有3条支路与电路 的其他部分相连接,其电流分别为I 1、I 2、I 3, 依基尔霍夫电流定律(KCL )有:I 3= I 1+ I 2。 图2.1.1 基尔霍夫电流定律的推广 2. 基尔霍夫电压定律 基尔霍夫电压定律描述了电路中元件电压的约束关系。基尔霍夫电压定律(KVL )指出:在集总参数电路中,任意时刻,沿任意闭合路径绕行,各元件电压的代数和为零,即: ∑==m k t v 1 0)(,或∑∑升 降 =v v (2.1.2) 2.1.2 基尔霍夫定律仿真分析 仿真电路如图2.1.2所示。 1. 理论分析 根据基尔霍夫定律,可以列出图2.1.2所示 电路的KCL 和KVL 方程: ? ? ?=?+?=++015-18020 022121R R R R I I I I (2.1.3) 解方程得:I R 1=-6A ,I R 2=4A ,从而得到 R 1两端电压V R 1=-120V ,R 2两端电压V R 2=60V 。 图2.1.2 基尔霍夫定律仿真电路 2. 仿真步骤 (1) 依次点击Multisim 11元件工具条上的“Place Source s\Power Sources\DC_Power”放置直流电压源,“Place Sources \Signal_Current Sources\DC_Current”放置直流电流源,“Place I1 I2 I3 R1R2 R3R5 R4

电力电子技术仿真实验指导书

《电力电子技术实验》指导书 合肥师范学院电子信息工程学院

实验一电力电子器件 仿真过程: 进入MATLAB环境,点击工具栏中的Simulink选项。进入所需的仿真环境,如图所示。点击File/New/Model新建一个仿真平台。点击左边的器件分类,找到Simulink和SimPowerSystems,分别在他们的下拉选项中找到所需的器件,用鼠标左键点击所需的元件不放,然后直接拉到Model平台中。 图 实验一的具体过程: 第一步:打开仿真环境新建一个仿真平台,根据表中的路径找到我们所需的器件跟连接器。

提取出来的器件模型如图所示: 图 第二步,元件的复制跟粘贴。有时候相同的模块在仿真中需要多次用到,这时按照常规的方法可以进行复制跟粘贴,可以用一个虚线框复制整个仿真模型。还有一个常用方便的方法是在选中模块的同时按下Ctrl键拖拉鼠标,选中的模块上会出现一个小“+”好,继续按住鼠标和Ctrl键不动,移动鼠标就可以将模块拖拉到模型的其他地方复制出一个相同的模块,同时该模块名后会自动加“1”,因为在同一仿真模型中,不允许出现两个名字相同的模块。 第三步,把元件的位置调整好,准备进行连接线,具体做法是移动鼠标到一个器件的连接点上,会出现一个“十字”形的光标,按住鼠标左键不放,一直到你所要连接另一个器件的连接点上,放开左键,这样线就连好了,如果想要连接分支线,可以要在需要分支的地方按住Ctrl键,然后按住鼠标左键就可以拉出一根分支线了。 在连接示波器时会发现示波器只有一个接线端子,这时可以参照下面示波器的参数调整的方法进行增加端子。在调整元件位置的时候,有时你会遇到有些元件需要改变方向才更方便于连接线,这时可以选中要改变方向的模块,使用Format菜单下的Flip block 和Rotate

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

参考答案 模拟电子技术实验指导书(2012)

实验一 常用电子仪器的使用 一、 实验目的 1.熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使 用方法。 2.学习使用低频信号发生器和频率计。 3.初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1—1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 图1—1 模拟电子电路中常用电子仪器布局图 1. 低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V (峰-峰值)。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值U m 、周期T (或频率f )和初相;脉冲信号的波形参数是幅值U m 、周期T 和脉宽T P 。幅值U m 、峰峰值U P-P 和有效值都可表示正弦量的大小,但用示波器测U P-P 较方便(用万用表交流电压档测得的是正弦量的有效值U= 2 m U )。由于频率f= T 1 , 所以测出周期T ,即可算得频率。矩形脉冲电压,可用周期T ,脉宽T P 和幅值U m 三个参数来描述。T P 与T 之比称为占空比。 三、 实验内容和步骤

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

《电力电子技术》实验指导书

实验三单相半波可控整流电路实验 一、实验目的 (1)掌握单结晶体管触发电路的调试步骤和方法。 (2)掌握单相半波可控整流电路在电阻负载及电阻电感性负载时的工作。 (3)了解续流二极管的作用。 二、实验所需挂件及附件 三、实验线路及原理

单结晶体管触发电路的工作原理及线路图已在1-3节中作过介绍。将DJK03挂件上的单结晶体管触发电路的输出端“G”和“K”接到DJK02挂件面板上的反桥中的任意一个晶闸管的门极和阴极,并将相应的触发脉冲的钮子开关关闭(防止误触发),图中的R负载用DK04滑线变阻器接成并联形式。二极管VD1和开关S1均在DJK06挂件上,电感L d在DJK02面板上,有100mH、200mH、700mH三档可供选择,本实验中选用700mH。直流电压表及直流电流表从DJK02挂件上得到。 图3-3单相半波可控整流电路 四、实验容 (1)单结晶体管触发电路的调试。 (2)单结晶体管触发电路各点电压波形的观察并记录。 (3)单相半波整流电路带电阻性负载时U d/U2= f(α)特性的测定。 (4)单相半波整流电路带电阻电感性负载时续流二极管作用的观察。 五、预习要求 (1)阅读电力电子技术教材中有关单结晶体管的容,弄清单结晶体管触发电路的工作原理。

(2)复习单相半波可控整流电路的有关容,掌握单相半波可控整流电路接电阻性负载和电阻电感性负载时的工作波形。 (3)掌握单相半波可控整流电路接不同负载时U d、I d的计算方法。 六、思考题 (1)单结晶体管触发电路的振荡频率与电路中电容C1的数值有什么关系? (2)单相半波可控整流电路接电感性负载时会出现什么现象?如何解决? 七、实验方法 (1)单结晶体管触发电路的调试 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V,用两根导线将200V交流电压接到DJK03的“外接220V”端,按下“启动”按钮,打开DJK03电源开关,用双踪示波器观察单结晶体管触发电路中整流输出的梯形波电压、锯齿波电压及单结晶体管触发电路输出电压等波形。调节移相电位器RP1,观察锯齿波的周期变化及输出脉冲波形的移相围能否在30°~170°围移动? (2)单相半波可控整流电路接电阻性负载 触发电路调试正常后,按图3-3电路图接线。将滑线变阻器调在最大阻值位置,按下“启动”按钮,用示波器观察负载电压U d、晶闸管VT两端电压U VT的波形,调节电位器RP1,观察α=30°、60°、90°、120°、150°时U d、U VT的波形,并测量直流输出电压U和电源电压U2,记录于下表中。

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

模拟电子技术实验指导书

河海大学文天学院 电子技术实验指导书 模拟电子技术 王飞 2014.2

实验一 晶体管单管放大电路 一、实验目的 1.学习放大电路静态工作点调试方法,分析静态工作点对放大电路性能的影响。 2.学习放大电路电压放大倍数及最大不失真输出电压的测量方法。 3.测量放大电路输入、输出电阻。 4.进一步熟悉各种电子仪器的使用。 二、实验原理 图1-1为电阻分压式静态工作点稳定放大电路,它的偏置电路采用R B1 = R W1 + R 3和R B2 = R W2 + R 4组成的分压电路,并在发射级中接有电阻R E = R 6,用来稳定静态工作点。当在放大电路输入端输入信号U i 后,在放大电路输出端便可得到与U i 相位相反、被放大了的输出信号U 0,实现了电压放大。R 1和R 2组成输入信号的分压电路,其目的是防止输入信号过大,损坏三极管。 图1-1 在电路中静态工作点为: CC B B B B U R R R U 2 12 += E E E BE B E R U R U U I = -= )(E C C CC CE R R I U U +-= 动态参数: 电压放大倍数k 3.3//50==-== R R R R U U A C be L C i U γβ

其中) mA () mv (26) 1(300E be I r β++= 输入电阻:若开关合上,即R 7短接 be B B i r R R r ////21= 输出电阻:5R R r C o == 放大电路输入电阻测试方法:若输入信号源U S 经R 1 = 5.1k 与C 1串联后再接到三极管 V 1的基极,测得U S 和'i U ,即可计算出1' ' R U U U r i S i i ?-= 输出电阻可用下式计算:L R U U r )1(0 '00-= 其中' 0U 为R L 未接入时(R L = ∞)U 0之值,U 0为接入R L 时U 0之值。 1.静态工作点的测试 1)静态工作点的测量 放大电路的静态工作点是指在放大电路输入端不加输入信号U i 时,在电源电压V CC 作用下,三极管的基极电流I B ,集电极电流I C 以及集成极与发射极之间的电压U CE 等。测量静态工作点时,应使放大电路输入信号U i = 0,即将信号源输出旋钮旋至零(通常需将放大电路输入端与地短接)。然后测出I C ,或测出R E 两端电压,间接计算出I C 来,I B = I C / β, U BE , U CE 用数字式直流电压表进行测量,在测试中应注意: a) 测量电压U BE 、U CE 时,为防止引入干扰,应采用先测量B 、C 、E 对地的电位后进行计算,即: U BE = U B – U E U CE = U C – U E b) 为了测量I B 、I C 和I E ,为了方便起见,一般先直接测量出U E 后,再由计算得到: E E E C R U I I == β C B I I = 总之,为了测量静态工作点只需用直流电压表测出U C 、U B 、U E 即可推算出。 2)静态工作点的调试: 放大电路的基本任务是在不失真的前提下,对输入信号进行放大,故设置放大电路静态工作点的原则是:保证输出波形不失真并使放大电路具有较高的电压放大倍数。 改变电路参数U CC 、R C 、R B 都将引起静态工作点的变化,通常以调节上偏置电阻取得一合适的静态工作点,如图1-1中调节R W1。R B1减小将引起I C 增加,使工作点偏高,放大电路容易产生饱和失真,如图1-2-a 所示,U 0负半周被削顶。当R B1增加,则I C 减小,使工作点偏低,放大电路容易产生截止失真,如图1-2-b 所示。U 0正半周被缩顶。适当调节R b1可得到合适的静态工作点。

数字电子技术实验讲义(电13)

数字电子技术实验指导书 杨延宁编 延安大学信息学院 2015年5月

前言 数字电路是一门理论性和技术性都较强的技术基础课,实验是本课程的重要教学环节,必须十分重视。 本实验讲义是为通信工程专业学生作数字电路实验而设计和编写的。编写时考虑了本专业的现行计划学时、所用教材内容及后续课程内容等。本讲义编写了八个实验,每个实验计划用时180分钟。 一、数字电路实验目的 1、验证、巩固和补充本课程的理论知识,通过理论联系实际,进一步提高分析和解决问题的能力。 2、了解本课程常用仪器的基本原理、主要性能指标,并能正确使用仪器及熟悉基本测量方法。 3、具有正确处理实验数据、分析实验结果、撰写实验报告的能力,培养严谨、实事求是的工作作风。 二、实验准备要求 实验准备包括多方面,如实验目的、要求、内容以及与实验内容有关的理论知识都要做到心中有数,并要写好预习报告。预习报告可以简明扼要地写一些要点,而不需要按照什么格式,只要自己能看懂就行。内容以逻辑图与电路图(连线图)为主,附以文字说明或必要的记录实验结果图表。在预习报告中要求将逻辑图与连线图同时画出,这是因为,只有逻辑图则不利于连接线路,而只有连线图则反映不出电路逻辑图。在实验过程中一旦出了问题,不便进行理论分析。特别当电路较复杂时还应将逻辑图与连线图结合起来。 三、数字电路实验中的常见故障及排除 数字电路实验过程的第一步,一般都是连接线路,当线路连接好后,就可以加电进行试验。若加电后电路不能按预期的逻辑功能正常工作,就说明电路有故障,产生故障的原因大致有以下几个方面:

1、电路设计错误。 2、布线错误。 3、集成块使用不当或功能不正常。 4、接触不良。 5、电源电压不符合要求。 在我们的实际实验过程中,故障最多的情况当属接触不良和布线错误。为了使实验能顺利进行,减少出现故障的可能性,实验过程必须做到仔细、认真、有步骤地进行。并注意以下几点: 1、插集成元件时,应注意校准其所有引脚,使其端、直、等距。然后慢慢插入实验板,以免用力过猛而折断或弯曲集成元件的引脚。并注意集成元件方向,以免倒插。双列直插式集成元件一端具有半圆形定位标记,其下方为第1引脚,上方为最后一个引脚,引脚序号以逆时钟方向递增。 2、在布线之前,最好先对实验所用集成元件进行逻辑功能测试,这样就可以避免在实验中因元件功能不正常而产生电路工作不正常。实际上预先检查元件的逻辑功能并不需花费多少时间。 3、布线所用导线为单芯直径约0.6nm的导线,布线时注意导线不要垮接在集成元件的上面,也不要使其交叉连接在空中搭成网状,而应使导线贴近实验板连接,沿水平和垂直两个正交方向走向。 4、布线时应有顺序地进行,以免漏接。连接时,首先连接固定电平的引脚,如电源正负极、门的多余输入端、工作过程中保持高电平或低电平的置位、复位和选通端等。然后再按照信号流向顺序依次布线。 5、对于使用集成元件较多的大型实验,应分块连接,调试,最后总体连接。 在实验电路设计正确的情况下,布好线又经检查后,一般出问题的机率是不多的。并且数字电路中的故障一般比模拟电路中的故障较易检查和排除。对于实验中出现的故障进行排除时,要保持头脑冷静,有分析地逐步进行,避免抱着侥幸心理乱碰,或在几分钟内找不到故障所在,则束手无策,甚至把连线全部拨掉,从头开始,这样太浪费时间。

相关文档
最新文档