自动售饮料机设计和原理
自动贩卖机工作原理

自动贩卖机工作原理
自动贩卖机是一种自动化设备,用于提供各种商品和饮料。
它们基本上是一个自包含的系统,可以在没有人员干预的情况下运行。
自动贩卖机的工作原理可以分为几个关键步骤:
1. 检测和接收货币:自动贩卖机上通常有一个货币接收器,可以读取和接收各种货币(例如硬币和纸币)。
接收到的货币将被存放在机器内部,用于支付购买商品的费用。
2. 选择商品:自动贩卖机上通常有一个面板,上面有不同的按钮或者触摸屏,供用户选择想要购买的商品。
用户可以通过按下相应的按钮或者在触摸屏上点击相应的选项来选择商品。
3. 输送商品:一旦用户选择了商品并支付了费用,自动贩卖机内部的货物输送系统就会开始工作。
这个系统通常由一个电动机和一个输送带组成,将所选的商品从存放区移动到售卖口。
4. 商品出售:当商品移动到售卖口时,用户就可以从中取出。
此时,自动贩卖机内部的货物输送系统会停止工作,以确保用户只取出购买的商品,而不是其他商品。
5. 提供找零:如果用户支付的货币的面额大于所购商品的价格,自动贩卖机通常会提供零钱作为找零。
自动贩卖机内部的找零系统会自动计算并提供正确的零钱数量。
需要注意的是,自动贩卖机还可以配备其他功能,如货物库存管理和远程监控等。
这些功能可以帮助操作员更好地管理自动贩卖机的运营情况,并提供更好的用户体验。
饮料自动售货机的工作原理

饮料自动售货机的工作原理饮料自动售货机是一种自助式购饮系统,可方便地向消费者提供茶、咖啡、汽水、果汁和瓶装饮用水等商品。
饮料自动售货机通过内置的计算机控制系统,可实现货品的自动售卖、货币识别、找零等功能,极大地方便了人们的生活。
第一步:货品储存饮料自动售货机中,各种饮料按照规格和种类分类,然后存放到指定的货架上。
在存放过程中,需要设定每个货道的容量和商品数量,以保证正常售卖的同时也保证货源的充足。
第二步:货币收取顾客选择自己喜欢的饮料后,投入相应的货币或银行卡。
饮料自动售货机通过内置的计算机控制系统,自动识别货币种类,并显示收到的金额。
第三步:选货当顾客选择自己想要的饮料后,饮料自动售货机会显示选取的产品的价格以及投币的数量,并通过内置的传感器检测货架上选中的饮料是否可售。
同时,系统也会将这些信息传给付款系统进行扣款。
当饮料自动售货机确认货物存在并且足够,并且确认买家的支付成功后,就会给货道上的机械臂发送指令,抓住选中的商品并移动到售货口处,然后通过售货口兑付顾客。
第五步:找零如果顾客支付的金额比选中的商品的价格多,饮料自动售货机会自动找零。
这个过程是通过系统内置的计算机识别所收回的货币种类和数量,并按照合适的面值找零。
第六步:流程结束当饮料自动售货机完成所有的步骤后,整个交易流程即完成。
系统通过自动售货机的界面显示当前销售情况或存货情况等信息,并定期检测存货的情况以及设备的状态,为维护保障提供有力保证。
总结起来,饮料自动售货机的工作原理是通过内置的计算机控制系统自动完成货品储存、货币识别、商品选取、出货和找零等全部流程。
自助购饮系统在生产和销售原材料、管理和维护上都比人工购买更为高效,它在许多场所,如学校、医院、商场、机场、火车站等处广泛使用,大小方便,随时可用,深受广大消费者欢迎。
自动饮料售物机控制系统的设计

包括识别用户投币、选择饮料、取货等步骤,以及 如何处理用户取消操作或退款等异常情况。
03
自动饮料售物机应提供友好的人机界面,使用户能 够快速、方便地完成购买过程。
支付处理逻辑
01
支付处理逻辑是指自动饮料售物机如何处理用户的支付请求。
02
包括与支付系统对接、验证支付信息、处理支付成功或失败的
情况等步骤。
自动饮料售物机控 制系统的设计
目录
• 系统概述 • 硬件设计 • 软件设计 • 控制逻辑设计 • 安全设计 • 测试与调试
01
CATALOGUE
系统概述
设计目标
实现自动化售卖
保证商品质量
通过自动饮料售物机控制系统,能够 实现24小时无人值守的饮料售卖服务 ,提高售卖效率,降低人工成本。
系统应确保所售卖的饮料质量,防止 过期或劣质商品的销售,保障消费者 权益。
自动饮料售物机应支持多种支付方式,如现金、银行卡、移动
03
支付等,以满足不同用户的需求。
补货逻辑
1
补货逻辑是指自动饮料售物机如何进行补货和库 存管理。
2
包括监测库存量、自动下单、补货提醒等步骤, 以确保自动饮料售物机的正常运营。
3
自动饮料售物机应具备智能库存管理功能,以实 现快速、准确的补货和库存控制。
06
CATALOGUE
测试与调试
功能测试
功能测试
确保自动饮料售物机控制系统能够完成预设的功能, 如投币、出货、找零等。
异常处理测试
测试系统在异常情况下的表现,如投币后不出货、找 零错误等。
兼容性测试
测试系统在不同型号、品牌或配置的自动饮料售物机 上的兼容性。
压力测试
自动售饮料机设计

现代电路与系统设计姓名:王保健学号:1200030052自动售饮料机设计1设计要求的提出和功能的构想[1][2]①该饮料机能识别0.5元和1.0元两种硬币;②售出3种不同价格的饮料,饮料价格分别为2.5元、3.0元和3.5元;③具有找零功能;④购买者能自主选择所购买的饮料;⑤饮料机在每卖出一次饮料后能自动复位。
因为饮料的价格最高为3.5元,所以设计饮料机最多可接受4.0元的硬币。
2分析设计要求并画出原始状态图该自动售饮料机设有一个投币孔,通过传感器来识别两种硬币,给出两个不同的信号。
在此用half_dollar和one_dollar分别表示投入0.5元和1.0元硬币后电路接收到的两个信号;三个饮料选择按键choose01表示选择价格为2.5元的饮料,choose10表示选择价格为3.0元的饮料,choose11表示选择价格为3.5元的饮料;rest表示复位按键;有2个输出口分别为饮料出口dispense和找零出口out1;用s0表示初始状态,s1表示投入0.5元硬币时的状态,s2表示投入1.0元硬币时的状态,s3表示投入1.5元硬币时的状态,s4表示投入2.0元时的状态;clk 表示时钟信号;机器最多接受的钱币为4.0元。
当投入的钱币到达2.5元或高于2.5元时机器处于开始出售饮料的状态。
当到达 2.5元时如果选择购买 2.5元的饮料(choose01)则系统给出一个饮料,即dispense为高电平一次。
如果投入的钱币到达3.0元并且选择购买2.5元的饮料则系统显示给出一个饮料并找出1枚0.5元的硬币,即dispense为高电平一次out1为高电平一次。
如果选择购买3.0元的饮料(choose10),则系统显示给出一个3.0元的饮料,即饮料输出信号dispense为高电平一次。
依次类推。
图1.1为本次设计所构想的状态图。
3程序设计根据上述对自动售饮料机逻辑状态的分析,编写程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity stmch1 isport(clk , rst ,half_dollar ,one_dollar: in std_logic;choose :in std_logic_vector(1 downto 0);out1 ,dispense: out std_logic);end stmch1;architecture behave of stmch1 istype state_values is (s0 , s1 , s2 ,s3 ,s4,s5 ,s6 , s7); signal state , next_state: state_values;beginprocess (clk , rst)beginif rst = '1' thenstate <= s0;elsif (clk'event and clk='1') thenstate <= next_state;end if;end process;process (state , half_dollar ,one_dollar ,choose) beginout1 <= '0';dispense<='0';next_state <= s0;case state iswhen s0 =>if (half_dollar='1') thennext_state <= s1;elsif (one_dollar='1' )thennext_state <= s2;else next_state <= s0;end if;when s1 =>if (half_dollar='1') thennext_state <= s2;elsif( one_dollar='1') thennext_state <= s3;else next_state <= s1;end if;when s2 =>if (half_dollar='1') thennext_state <= s3;elsif( one_dollar='1') thennext_state <= s4;else next_state <= s2;end if;when s3 =>if (half_dollar='1') thennext_state <= s4;elsif( one_dollar='1') thennext_state<=s5;else next_state <= s3;end if;when s4 =>if (half_dollar='1') thenif(choose="01") thendispense<='1';else next_state <= s4;end if;elsif( one_dollar='1')thenif(choose="01") thendispense<='1';out1<='1';elsif (choose="10") thendispense<='1';end if;else next_state<=s4;end if;when s5 =>if (choose="01") then dispense<='1'; elsif (choose="10") thenif (half_dollar='1')thendispense<='1';elsif(one_dollar='1')thendispense<='1';out1<='1';end if;else next_state<=s5;end if;when s6 =>if (choose="01") thendispense<='1';out1<='1';elsif (choose="10") then dispense<='1'; elsif (choose="11") thenif (half_dollar='1')thendispense<='1'; elsif(one_dollar='1')thendispense<='1';out1<='1';end if;else next_state<=s6;end if;when s7=>if (choose="11") thendispense<='1';else next_state<=s7;end if;end case;end process;end behave;4 仿真波形分析根据投币信息和购买类型的不同组合,会产生很多种输出波形。
播放自动饮料机的原理

播放自动饮料机的原理自动饮料机是一种自动售货机,主要用于向消费者提供各种饮料。
其工作原理主要包括以下几个方面:1. 结构组成:自动饮料机主要由外壳、货道、控制系统和各种传感器组成。
外壳为整个饮料机提供支撑和保护作用,货道用于放置饮料,并通过电动机或弹簧系统将饮料推送至出货口。
控制系统包括主板、芯片和显示屏等,用于控制整个饮料机的运行和显示相关信息。
传感器主要用于检测货物的存放情况、硬币的投入情况、取货口是否正常等等。
2. 饮料存储:自动饮料机通常使用特制的货道来存放不同种类的饮料。
货道内设有隔板,每个隔板上可以放置数罐或数瓶饮料。
每个隔板上通常使用弹簧或电动马达将饮料推到货道前端的出货口位置。
3. 工作流程:当用户选择购买饮料并投入相应的硬币或通过刷卡等支付方式输入购买信息后,系统将通过主板对相应的货道进行控制。
主板将控制信号发送给货道上的电动机或弹簧系统,推动对应的饮料到出货口的位置。
此时,系统还会通过相应的传感器检测货道上是否有足够的饮料、硬币的投入情况、出货口是否正常等。
4. 饮料出货:当货道上的饮料被推至出货口位置时,系统将判断购买是否成功。
如果用户没有足够的投币或者货道上的饮料已售罄,系统会显示相应的提示信息,并要求用户重新选择或者进行其他操作。
如果购买成功,系统将开始进行找零或者提供其他支付方式的操作,并通过显示屏等方式告知用户购买已成功。
此时,用户即可从出货口处取走所购买的饮料。
5. 故障处理:自动饮料机还配备有各种传感器,用于监测饮料机的运行状态。
如果某个货道上的饮料售罄,或者出货口出现故障等情况,系统将通过传感器检测到,并在显示屏上显示故障信息供维修人员查看和处理。
自动饮料机通过上述工作原理实现了自动售卖饮料的功能。
它能够方便快捷地为用户提供饮料,并通过自动的货币找零功能实现支付的便利。
同时,其准确的传感器检测和控制系统,保证了用户购买的准确性和安全性。
这种自动化售卖技术有助于提高销售效率和节约人力成本,被广泛应用于各类商场、车站、学校等公共场所。
自动售饮料柜工作原理

自动售饮料柜工作原理
自动售饮料柜是一种自动化设备,通过以下步骤实现工作:
1. 接收指令:自动售饮料柜通过内置程序接收输入指令,如选择某种饮料、查询库存等。
2. 选择饮料:根据接收到的指令,自动售饮料柜会通过内置的电脑系统选择对应的饮料。
这可能涉及到在多个货架或储物格中选择,并且需要检查库存是否充足。
3. 取用饮料:一旦饮料被选择,自动售饮料柜将打开对应货架或储物格的门。
顾客可以通过触摸屏或按钮选择自己想要的饮料。
一旦选择完成,饮料会被自动输送到取饮料区域。
4. 支付:自动售饮料柜通常会有多种支付方式可选择,如现金、信用卡、移动支付等。
顾客需要按照指示将付款放入自动售饮料柜的付款口或使用电子支付设备完成支付。
5. 出货:一旦收到付款确认,自动售饮料柜将开始出货。
通常会有一个出货门或通道,饮料会通过这个出货口被推出给顾客。
6. 销售记录和库存管理:自动售饮料柜还会在每次交易完成后记录销售信息,包括销售时间、饮料种类和数量等。
这些信息可以用于生成销售报告和管理库存。
柜子还可以通过传感器监测库存水平,并在库存低于预设阈值时提醒管理员进行补货。
以上步骤是一般自动售饮料柜的工作原理,具体的实现方式可能会因制造商和型号的不同而有所差异。
自动饮料售货机

自动饮料售货机标题:自动饮料售货机引言:自动饮料售货机是现代社会中常见并常用的自动化设备之一。
它通过先进的技术和创新的设计,为消费者提供方便快捷的饮料购买体验。
本文将深入探讨自动饮料售货机的工作原理、优点、应用领域以及未来发展趋势。
一、自动饮料售货机工作原理自动饮料售货机采用多种技术来实现自动化售卖饮料的功能。
首先,它通过引入电子支付系统,使得消费者可以用手机、信用卡等方式付款。
其次,售货机内部配备了电子识别装置,可以读取商品信息和价格,并与消费者的支付输入进行匹配。
最后,通过机械装置和电子控制系统,自动饮料售货机将所选饮料从库存中抓取,并将其推送到取货口,供消费者取用。
二、自动饮料售货机的优点1. 方便快捷:自动饮料售货机可以在任何时间和地点提供饮料服务,不受人员限制,大大提高了消费者的购买体验。
2. 多样选择:售货机内部可以存放多种类型的饮料,以满足不同消费者的口味需求,从矿泉水到碳酸饮料,从咖啡到果汁,应有尽有。
3. 实时监控:现代的自动饮料售货机通常都配备了远程监控系统,可以实时监测商品的库存情况、销售情况和设备状态,及时进行维护和补货。
4. 节省成本:自动饮料售货机不需要雇佣大量员工,可以减少人力成本,并且无需租赁昂贵的商铺,从而降低了运营成本。
三、自动饮料售货机的应用领域1. 公共场所:自动饮料售货机广泛应用于机场、火车站、地铁站、商场、学校、体育场馆等公共场所,为人们提供便利的购买渠道。
2. 办公室:自动饮料售货机也常见于办公室,解决了员工在工作时间无法外出购买饮料的问题,提高了工作效率。
3. 餐饮业:一些餐饮店和咖啡店也将自动饮料售货机作为补充设备,为顾客提供更多选择。
四、自动饮料售货机的未来发展趋势1. 智能化:未来的自动饮料售货机将更加智能化,配备人脸识别技术,通过消费者的个体信息,提供更加个性化的服务。
2. 环保性:为了减少使用塑料瓶的数量,未来的自动饮料售货机可能会推出可回收杯装产品,推动环保意识的增强。
饮料自动售卖机实训报告

一、实训目的本次实训旨在使学生了解饮料自动售卖机的基本原理、操作流程和维护方法,提高学生的实际操作能力,培养学生在实际工作中解决问题的能力。
二、实训时间与地点实训时间:2021年X月X日至2021年X月X日实训地点:某饮料自动售卖机公司三、实训内容1. 饮料自动售卖机的基本原理饮料自动售卖机是一种利用电子技术、机械技术和计算机技术相结合的自动化设备,它通过投币、选择、取货等操作,实现饮料的自动售卖。
其主要原理如下:(1)钱币识别装置:用于识别和计算投入的钱币金额。
(2)指示控制装置:用于显示操作指南、商品信息、投币金额、找零金额等。
(3)原料贮存装置:用于储存各种饮料原料。
(4)供水装置:用于为饮料提供水源。
(5)搅拌出料装置:用于将饮料原料搅拌、混合后,通过出口处提供给顾客。
2. 饮料自动售卖机的操作流程(1)投币:将准备好的纸币或硬币投入售卖机的入钞口。
(2)选择饮料:根据需要,在售卖机屏幕上选择所需的饮料。
(3)取货:按下确认按钮,等待几秒后,所选饮料会出现在取货口。
(4)找零:如果投入的钱币超出所需金额,按找零按钮,多余的钱币会从找零口退还。
3. 饮料自动售卖机的维护方法(1)定期检查:定期检查售卖机的各个部件,确保其正常运行。
(2)清洁保养:定期清洁售卖机的外壳、屏幕、出料口等部位,保持卫生。
(3)更换易损件:当发现易损件损坏时,及时更换,以免影响售卖机正常运行。
(4)检查电源:确保售卖机电源正常,避免因电源问题导致设备损坏。
四、实训过程1. 实训前期准备在实训开始前,我们了解了饮料自动售卖机的基本原理、操作流程和维护方法,为实训做好了充分准备。
2. 实训过程(1)观察学习:首先,我们观察了售卖机的结构、各个部件的功能以及操作流程。
(2)动手操作:在导师的指导下,我们亲自操作了售卖机,体验了投币、选择、取货、找零等过程。
(3)维护保养:我们还学习了售卖机的维护保养方法,并亲自对售卖机进行了清洁保养。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
十.自动售饮料机1.实验目的了解自动售货机的工作流程以及各个工作状态,以及其test_bench,最后在Robei可视化仿真软件经行功能实现和仿真验证。
2.实验原理自动售货机的信号定义:clk:时钟输入;reset:为系统复位信号;half_dollar:代表投入5角硬币;one_dollar:代表投入1元硬币;half_out:表示找零信号;dispense:表示机器售出一瓶饮料;collect:该信号用于提示投币者取走饮料。
当reset=0时,售货机处于工作状态,此时连续往售货机中投硬币(可以是5毛也可以是一元),投入最后一枚硬币时,如果之前投入的硬币总和为2.5元则可以取走一瓶饮料,如果少于2.5元则继续投币,如果为3元则显示可以取出一瓶饮料而且找零显示信号为高电平。
投入硬币的总额自动售饮料机给出的信号<2.5元继续投币=2.5元可以取出一瓶饮料=3元可以取出一瓶饮料,并且找零3.实验内容3.1 sell模块的设计1)新建一个模型命名为sell,类型为module,同时具备4输入3 输出,每个引脚的属性和名称参照下图2-10-1经行对应的修改。
图2-10-1 sell引脚的属性图2-10-2 sell界面图2)添加代码。
点击模型下方的Code添加代码。
代码:parameter idle=0,half=1,one=2,one_half=3,two=4;reg[2:0] D;always @(posedge clk)beginif(reset)begindispense=0; collect=0;half_out=0; D=idle;endcase(D)idle:if(half_dollar)D=half;else if(one_dollar)D=one;half:if(half_dollar)D=one;else if(one_dollar)D=one_half;one:if(half_dollar)D=one_half;else if(one_dollar)D=two;one_half:if(half_dollar)D=two;else if(one_dollar)begindispense=1;collect=1;D=idle;endtwo:if(half_dollar)begindispense=1;collect=1;D=idle;endelse if(one_dollar)begindispense=1;collect=1;half_out=1;D=idle;endendcaseend3)保存模型到一个文件夹(文件夹路径不能有空格和中文)中,运行并检查有无错误输出。
3.2 sell_test测试文件的设计1)新建一个4输入3 输出的sell_test测试文件,记得将Module Type设置为“testbench”,各个引脚配置如图2-10-3所示。
图2-10-3 sell_test引脚的属性2)另存为测试文件。
将测试文件保存到上面创建的模型所在的文件夹下。
3)加入模型。
在Toolbox工具箱的Current栏里会出现模型,单击该模型并在sell _test上添加,并连接引脚,如下图2-10-4所示:图2-10-4 sell_test工作界面4) 输入激励。
点击测试模块下方的“Code”,输入激励算法。
激励代码在结束的时候要用$finish 结束。
测试代码:initial beginone_dollar=0;half_dollar=0;reset=1;clk=0;#100 reset=0;repeat(2)@(posedge clk);#2 one_dollar=1;repeat(1)@(posedge clk);#2 one_dollar=0;repeat(2)@(posedge clk);#2 one_dollar=1;repeat(1)@(posedge clk);#2 one_dollar=0;repeat(2)@(posedge clk);#2 one_dollar=1;repeat(1)@(posedge clk);#2 one_dollar=0;#20 reset=1;#100 reset=0;repeat(2)@(posedge clk);#2 one_dollar=1;repeat(1)@(posedge clk);#2 one_dollar=0;repeat(2)@(posedge clk);#2 one_dollar=1;repeat(1)@(posedge clk);#2 one_dollar=0;repeat(2)@(posedge clk);#2 half_dollar=1;repeat(1)@(posedge clk);#2 half_dollar=0;#20 reset=1;#5 $finish;endalways #10 clk=~clk;5) 执行仿真并查看波形。
查看输出信息。
检查没有错误之后查看波形。
点击右侧Workspace 中的信号,进行添加并查看分析仿真结果。
如图2-10-5所示:图2-10-5 sell_test仿真波形4.板级验证为了测试所设计sell的工作特性,我们选择ZYBO板进行板级间验证,由于该开发板搭载XILINX公司的Z-7010芯片,所以选用VIVADO设计平台进行Synthesis、Implementation 和Generate Bitstream,最终将生成的数据流文件下载到ZYBO板内,并进行实验验证。
下图即为ZYBO板实图。
图2-10-6 ZYBO板实图图2-10-7 拨动开关和按键实图其中:one_dollar对应于开发板SW0按键;half_dollar对应于开发板SW1按键;reset复位端口对应于开发板SW2按键;clk时钟对应于开发板SW3按键;collect对应于开发板LED灯LD0;half_out 对应于开发板LED灯LD1;dispense对应于开发板LED灯LD2;图2-10-8 LED灯实图4.1 VIVADO设计平台进行Synthesis、Implementation和Generate Bitstream。
4.1.1启动Vivado软件并选择设备XC7Z010CLG400-1(ZYBO)作为硬件对象,设计语言选用Verilog,建立新的工程,添加通过Robei设计的文件sell.v。
1)打开Vivado,选择开始>所有程序>Xilinx Design Tools> Vivado2013.4> Vivado2013.4;2)单击创建新项目Create New Project启动向导。
你将看到创建一个新的Vivado项目对话框,单击Next;3)在弹出的对话框中输入工程名sell及工程保存的位置,并确保Create project subdirectory 复选框被选中,单击Next;图2-10-9 项目名称和位置输入4)选择项目类型表单的RTL Project选项,不勾选Do not specify sources at this time复选框,然后单击Next;5)使用下拉按钮,选中Verilog作为目标文件和仿真语言;6)点击添加Add Files按钮,浏览到刚刚我们Robei项目的目录下打开Verilog文件夹,选择sell.v,单击Open,然后单击Next去添加现有的IP模型;7)由于我们没有任何的IP添加,单击Next去添加约束形成;8)由于没有约束文件,所以点击Creat Files按钮创建,在弹出的对话框中输入文件名字,单击OK,然后单击Next;9)在默认窗口中,按照图2-10-12所示设置Filter中的选项,然后在Parts中选择XC7Z010CLG400-1,单击Next;10)单击Finish,本Vivado项目创建成功。
图2-10-10 选择目标文件和仿真语言图2-10-11 创建新的约束文件图2-10-12 器件选型4.1.2打开uart_led_pins.xdc文件,输入引脚约束源代码。
1)在资源窗口sources中,展开约束文件夹,然后双击打开uart_led_pins.xdc进入文本编辑模;图2-10-13 展开约束文件uart_led_pins.xdc2)根据上面引脚分配要求,遵循Vivado约束文件规则,编写引脚约束文件,输入代码。
Xilinx设计约束文件分配FPGA位于主板上的开关和指示灯的物理IO地址,这些信息可以通过主板的原理图或电路板的用户手册来获得;uart_led_pins.xdc约束文件代码如下:uart_led_pins.xdc约束文件代码如下:# ZYBO board Pins# sell machine#SW0~3 SWITCHES one_dollar, half_dollar, reset, clk.set_property PACKAGE_PIN G15 [get_ports one_dollar]set_property IOSTANDARD LVCMOS33 [get_ports one_dollar]set_property PACKAGE_PIN P15 [get_ports half_dollar]set_property IOSTANDARD LVCMOS33 [get_ports half_dollar]set_property PACKAGE_PIN W13 [get_ports reset]set_property IOSTANDARD LVCMOS33 [get_ports reset]set_property PACKAGE_PIN T16 [get_ports clk]set_property IOSTANDARD LVCMOS33 [get_ports clk]#LD0~3 LEDS collect, half_out, dispense.set_property PACKAGE_PIN M14 [get_ports collect]set_property IOSTANDARD LVCMOS33 [get_ports collect]set_property PACKAGE_PIN M15 [get_ports half_out]set_property IOSTANDARD LVCMOS33 [get_ports half_out]set_property PACKAGE_PIN G14 [get_ports dispense]set_property IOSTANDARD LVCMOS33 [get_ports dispense]set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF]3)点击File—>Save File保存文件。