60进制计数器

合集下载

60进制计数器设计(VHDL)

60进制计数器设计(VHDL)

《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:一、实验名称实验6:60进制计数器设计二、任务及要求【大体部份】4分一、在QuartusII平台上,采用文本输入设计方式,通过编写VHDL语言程序,完成60进制计数器的设计并进行时序仿真。

二、设计完成后生成一个元件,以供更高层次的设计挪用。

3、实验箱上选择适当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。

【发挥部份】1分在60进制基础上设计6进制计数器,完成时序仿真。

三、实验程序library ieee;use jinzhi60 isport(clk:in std_logic;co:out std_logic;qh:buffer std_logic_vector(3 downto 0);ql:buffer std_logic_vector(3 downto 0));end entity jinzhi60;architecture art of jinzhi60 isbeginco<='1'when(qh="0101"and ql="1001")else'0';process(clk)beginif(clk='1')thenif(ql=9)thenql<="0000";if(qh=5)thenqh<="0000";elseqh<=qh+1;end if;elseql<=ql+1;end if;end if;end process;end architecture art;四、仿真及结果分析由以上代码编译,仿真,取得一下时序仿真波形图。

用VHDL语言实现一个六十进制计数器,该计数器有计数使能端en,清零端clr和进位输出端co。

VHDL60进制计数器实验

VHDL60进制计数器实验

《EDA技术》课程实验报告学生姓名:所在班级:电信1001指导教师:记分及评价:一、实验名称60进制计数器设计二、任务及要求【基本部分】4分1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成60进制计数器的设计并进行时序仿真。

2、设计完成后生成一个元件,以供更高层次的设计调用。

3、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。

【发挥部分】1分在60进制基础上设计6进制计数器,完成时序仿真。

三、实验程序Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;Entity j602 Isport (clk:in std_logic;ent:in std_logic;rst:in std_logic;y0: out std_logic_vector(3 downto 0);y1: out std_logic_vector(3 downto 0);cout: out std_logic);End j602;Architecture j602 of j602 issignal cnt0 :std_logic_vector(3 downto 0);signal cnt1 :std_logic_vector(3 downto 0);Begincout<='1' when (cnt1="0101" and cnt0="1001" and ent = '1') else '0';process(clk,rst)BeginIf rst ='0' Thencnt0<="0000";cnt1<="0000";elsif clk'event and clk='1' Thenif ent = '1' Thenif cnt0="1001" Thencnt0<="0000";if cnt1="0101" Thencnt1<="0000";elsecnt1<=cnt1+1;end if;elsecnt0<=cnt0+1;end if;end if;end if;end process;y0<=cnt0;y1<=cnt1;end j602;四、仿真及结果分析五、硬件验证1、选择模式:模式5六、小结通过这次实验,使我明白了用VHDL语言编程和用设计原理图实现同样功能器件的区别,从而加深的对EDA的理解。

74ls160做60进制计数器原理及实验步骤 -回复

74ls160做60进制计数器原理及实验步骤 -回复

74ls160做60进制计数器原理及实验步骤-回复74LS160是一种常见的计数器芯片,它能够实现60进制的计数功能。

本文将介绍74LS160的原理以及实验步骤,帮助读者更好地理解和运用这种计数器芯片。

一、74LS160的工作原理74LS160是一种可编程时序器件,它内部包含了一个由主计数器和辅助计数器组成的计数器链。

这两个计数器均可设定为0至59之间的任意数值。

主计数器负责进行60进制的计数,而辅助计数器负责对主计数器进行增加或减少操作。

在开始计数之前,我们首先需要对74LS160进行编程。

通过将不同的输入信号引脚接地或连接高电平,我们可以设置主计数器和辅助计数器的起始值。

此外,还需设置计数器处于增加或减少模式、复位或不复位模式以及使能或禁用计数器。

当所有设置完成后,就可以开始进行计数操作了。

每当计数器达到设定的最大值时,它会自动回到起始值重新开始计数(这里是59)。

可以使用一个外部的信号来触发计数器的复位操作,实现对计数器的控制。

在实际应用中,我们可以通过连接74LS160输出引脚到其他器件或电路,来实现对计数结果的产生和运用。

例如,可以将计数结果连接到显示器上,直接显示出当前的计数值。

或者将计数结果连接到其他逻辑电路中,实现更复杂的功能。

二、实验步骤下面将详细介绍使用74LS160实现60进制计数的实验步骤。

在进行实验之前,我们需要准备以下器材和元件:74LS160芯片、电路板、连接线、几个脉冲开关和一台数字显示器。

1. 将74LS160芯片插入电路板上相应的位置。

确保芯片的引脚正确插入到电路板上的插座中。

可以参考芯片的管脚图或者电路板说明来确定正确的插入方式。

2. 使用连接线将芯片与其他器件进行连接。

首先,将芯片的电源引脚与电源连接,确保芯片能够正常工作。

接下来,将芯片的计数引脚与脉冲开关连接,以接收外部的计数触发信号。

最后,将芯片的输出引脚连接到数字显示器的相应输入端口上。

3. 设置芯片的工作模式。

六十进制计数器的仿真与设计

六十进制计数器的仿真与设计
2.设计方案论证
2.1 概述 由设计任务可知,六十进制计数器由一个十进制计数器(计数状态 0~9)和一个
六进制计数器(计数状态 0~5)级联构成,在计数状态 59 的下一个状态产生清零信 号,同时产生进位输出。根据自己所学的知识,可以采用同步十进制计数器 74LS160 级联的形式来构成六十进制计数器。首先,将两片 74160 串联起来构成一个一百进制 计数器。其中,第一片记的是十位,第二片记的是个位。然后,再用置数法将得到的 百进制计数器改接为六十进制计数器。设计数器从全零开始计数,则计入 59 个脉冲 以后,第一片计成 Q3Q2Q1Q0=0101(5),第二片计成 Q3Q2Q1Q0=1001(9),与非门的 输出使两片 74160 的 LD’同时为低电平。当下一个(第六十个)计数输入脉冲到达时, 两个 74160 同时被置零,返回起始状态。这样就得到了六十进制计数器。

其功能表如下:
表 1 十进制计数器功能表
CP
Rd
LD
EP
X
0
X

1
0
XX11来自0X1
1
X

1
1
1
图 3 与非门 7420N 逻辑框图
原理说明:
与非门逻辑关系:Y=(ABCD)’
图 4 非门 74LS04D 逻辑框图
原理说明:
非门逻辑关系:Y=(A)’
图 5 用于计数的发光二极管
ET
工作状态
X
置零
X
预置数
通过查阅资料对不同的设计方案进行比较论证,由于 Multisim7 电路仿真软件采 用交互式界面,比较直观,操作简便,具有丰富的元器件库和品种繁多的虚拟仪器以 及强大的分析功能等特点,因而,采用 Multisim7 电路仿真软件进行设计电路并仿真

74ls160做60进制计数器原理及实验步骤

74ls160做60进制计数器原理及实验步骤

74ls160做60进制计数器原理及实验步骤74LS160是一种常见的集成电路,可以用来制作60进制计数器。

本文将详细介绍74LS160计数器的原理和实验步骤,并按步骤回答相关问题。

第一部分:74LS160计数器原理1. 什么是74LS160计数器?74LS160是一种同步4位可二进制或BCD(二进制编码十进制)计数器。

BCD是一种将十进制数字表示为4位二进制码的编码系统。

2. 工作原理是什么?74LS160计数器通过输入脉冲信号来实现计数,并将结果以二进制或BCD的形式输出。

它有一个异步复位输入和一个同步使能输入。

当复位输入为低电平时,计数器的值将被重置为0。

当使能输入为高电平时,计数器开始计数。

计数器的值可以通过输出引脚读取。

3. 如何将74LS160配置为60进制计数器?在将74LS160配置为60进制计数器之前,首先需要将它设置为BCD计数器。

然后,在BCD计数器的基础上,添加逻辑电路来实现60进制计数。

4. 如何实现BCD计数?将74LS160配置为BCD计数器很简单。

首先,将使能输入(ENABLE)连接到高电平,以确保计数器始终处于计数状态。

然后,将复位输入(CLEAR)连接到低电平,以将计数器的初始值重置为0。

最后,将时钟输入(CLK)连接到外部时钟源。

5. 如何实现60进制计数?要实现60进制计数,我们需要添加一个逻辑电路来增加计数器的位数。

由于74LS160只是一个4位计数器,我们需要使用多个74LS160并联来扩展位数。

例如,如果我们想要一个6位的60进制计数器,我们可以使用两个74LS160,并将第二个计数器的CLK输入连接到第一个计数器的某个输出引脚。

第二部分:74LS160计数器实验步骤1. 准备材料- 1个或多个74LS160计数器芯片(取决于所需的位数)- 逻辑门IC(用于扩展位数)- 面包板- 连接线- 4个LED(用于将计数器结果显示出来)- 电源(通常为5V)2. 连接电路首先,将74LS160芯片插入面包板中。

60进制计数器错误原因与修改方法

60进制计数器错误原因与修改方法

60进制计数器错误原因与修改方法杨艳;李继凯【摘要】在实际应用中常采用集成4位二进制计数器74LS161构成60进制计数器。

对现有教材中的一道例题采用Multisim仿真并观察结果,发现其实构成的是50进制计数器。

结合74LS161的逻辑功能表,分析了用74LS161构成60进制计数器时出现错误计数循环的原因,给出了两种修改电路设计的方法,并利用仿真实验进行验证。

结果表明设计方法是正确的。

%A 4-bit integrated binary counter 74LS161 is often used to compose a modulo -60 counter in practical application ,A circuit from the existing textbook example is simulated with Multisim .The results show the circuit is a modulo -50 counter in fact .The reasons for wrong count cycle in using 74LS161 to compose modulo-60 counter is analyzed ,based on the logic function table of 74LS161 .Two Modifi-cation methods is given and verified with simulation experiment .【期刊名称】《广东石油化工学院学报》【年(卷),期】2015(000)004【总页数】4页(P63-66)【关键词】集成计数器;60进制;Multisim【作者】杨艳;李继凯【作者单位】广东石油化工学院计算机与电子信息学院,广东茂名525000;广东石油化工学院计算机与电子信息学院,广东茂名525000【正文语种】中文【中图分类】TN79从降低成本方面考虑,集成电路的定型产品必须有足够大的批量,因此,目前常见的计数器芯片在计数进制上只做成应用较广的类型,如十进制和十六进制[1]。

电子技术基础实验课程设计-用74LS161设计六十进制计数器

电子技术基础实验课程设计-用74LS161设计六十进制计数器

电子技术基础实验课程设计用74LS161设计六十进制计数器学院:班级:姓名:学号:电气工程学院电自1418用74LS161设计六十进制计数器摘要计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。

使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。

计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。

如定时器,报警器、时钟电路中都有广泛用途。

在配合各种显示器件的情况下实现实时监控,扩展更多功能。

利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。

把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。

十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。

当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。

使用200HZ时钟信号作为计数器的时钟脉冲。

根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。

关键字:60进制,计数器,74LS161,级联目录第1章概述 (1)1.1 计数器设计目的 (1)1.2 计数器设计组成 (1)第2章六十进制计数器设计描述 (2)2.1 74LS161的功能 (2)2.2 方案框架 (3)第3章六十进制计数器的设计与仿真 (4)3.1 基本电路分析设计 (4)3.2 计数器电路的仿真 (6)第4章总结 (8)第1章概述计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。

按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。

数 字 电 子 技 术(六十进制计数器制作)

数 字 电 子 技 术(六十进制计数器制作)

数字电子技术仿真实验报告学院:计算机科学学院班级:信科10级01班学号: ***********姓名:第一章设计方案论证1.1、选择论证的基本过程1)每隔1s,计数器增1;能以数字形式显示时间。

2)当定时器递增到59时,定时器会自动返回到00显示,然后继续计时。

整个计数过程中,LED1即发光灯会显示进位信号。

3)本设计主要设备是两个74LS160同步十进制计数器,并且由300HZ,5V 电源供给。

1.2、设计方案框图使用300HZ555定时器作为计数器的输入信号。

根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。

此电路可以作为简易数字时钟的分钟显示。

图1.2为60进制计数器的总体框图。

图1.2 系统总体框图第二章单元电路设计2.1、十进制计数器(个位)电路本电路采用74LS160作为十进制计数器,它是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器。

功能如表2-1表2-1 十进制计数器功能表CP RD` LD` EP ET 工作状态×0 ××置零↑ 1 0 ××预置数× 1 1 0 1 保持× 1 1 ×0 保持↑ 1 1 1 1 计数连接方式如图2.1图2.1 十进制计数器(个位)2.2、十进制计数器(十位)电路图2.2 十进制计数器(十位)2.3、555定时器555定时器是一种模拟电路和数字电路相结合的中规模集成器件,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成单稳态触发器和多谐振荡器,以及不需外接元件就可组成施密特触发器。

因此集成555定时被广泛应用于脉冲波形的产生与变换、测量与控制等方面。

图2.3为用555定时器设计的多谐振荡器的电路图及其电路产生的波形。

图2.3 多谐振荡器由多谐振荡器原理,结合上图可知其振荡周期T=T 1+T 2。

T 1为电容充电时间,T 2为电容放电时间。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电子技术基础实验
课程设计

60进制计数器

学 期: 2015-2016(一)
班 级: 电自1418
* 名: **
学 号:
*************

日 期: 2015年12月30日
一、实验目的
(一)掌握中规模集成计数器74LS161的引脚图和逻辑功能。
(二)熟悉555集成定数器芯片的引脚图。
(三)利用74LS161和555定时器构成60进制计数器。
(四)在Multisim软件中仿真60进制计数器。

二、实验内容
(一)集成计数器74LS161逻辑功能验证。
(二)用555定时器构成多谐振荡器。
(三)用两片74LS161和555定时器构成60进制计数器。

三、集成计数器介绍

(一)集成计数器74LS161管脚介绍
74LS161是4位二进制同步加法计时器。图1为它的管脚排列图,集
成芯片74LS161的CLR是异步清零端(低电平有效),LOAD是异步预置数控
制端(低电平有效)。CLK是时钟脉冲输入端,RCO是进位输出端,ENP、ENT
是计数器使能端,高电平有效。A、B、C、D是数据输入端;QA、QB、QC、
QD是数据输出端。


图1 74LS161管脚排列图

(二)集成计数器74LS161功能介绍
由表1可知,74LS161具有以下功能:
1.异步清零。当CLR=0时,无论其他各输入端的状态如何,计数器均
被直接置“0”。
2.同步预置数。当CLR=1、LOAD=0且在CP上升沿作用时,计数器将ABCD
同时置入QA、QB、QC、QD,使QA、QB、QC、QD=ABCD。
3.保持(禁止)。CLR=LOAD=1且ENP、ENT=0时,无论有无CP脉冲作用,
计数器都将保持原有的状态不变(停止计数)。
4.计数。CLR=LOAD=ENP=ENT=1时,74LS161处于计数状态。

表1 74LS161功能表

四、用555定时器构成多谐振荡器
(一)多谐振荡器的构成
由555定时器构成的多谐振荡器如图1所示,R1,R2和C是外接定时元件,
电路中将高电平触发端(THR脚)和低电平触发端(TRI脚)并接后接到R2和
C的连接处,将放电端(DIS脚)接到R1,R2的连接处。

(二)工作原理
由于接通电源瞬间,电容C来不及充电,电容器两端电压为低电平,小于
(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出为高电平,放
电管V1截止。这时,电源经R1,R2对电容C充电,使电压按指数规律上升,
当上升到 (2/3)Vcc时,输出为低电平,放电管V1导通,把从(1/3)Vcc
上升到(2/3)Vcc由于放电管V1导通,电容C通过电阻R2和放电管放电,电
路进人第二暂稳态,其维持时间的长短与电容的放电时间有关,随着C的放电,
下降,当下降到(1/3)Vcc时,输出为高电平,放电管V1截止,Vcc再次对电
容C充电,电路又翻转到第一暂稳态。

图2 多谐振荡器

五、 用两片74LS161和555定时器构成60进制计数器
(一)60进制计数器工作原理
根据设计基理可知,计数器初值00,按递增方式计数,增到59时,再自
动返回到00。因此,需要使用两片74LS161芯片级联的形式来构成六十进制
计数器,一片控制个位,为十进制;另一片控制十位,为六进制。利用74LS161
本身的控制端(完成十进制,在达到1001(即十进制的九)时),给高位芯片
一个脉冲使高位芯片计数加一,同时低位芯片反馈清零,这样反复,直到第二
片达到0110时第二片自身反馈清零,这样便完成一次60进制的计数,且回到
初态,两片74LS161全部反馈清零,继续重复计数。图1、图2分别为60进
制计数器的工作框图和状态转换图。

图3 60进制计数器的工作框图
74LS161构成的十
进制计数器(个位)
反馈清零
反馈清零

译码显示 译码显示

时钟脉冲
74LS161构成的六
进制计数器(十位)
图4 60进制计数器的状态转化图
(二)实施方案
制作60进制计数器,先要确定使用芯片个数。74LS161有16个状态,60
进制计数器有60个状态,所以就需要两片74LS161串连并采用并行进位方式。
具体电路连接图见图3。进行计数功能,将低位片的QD、QA连接到高位片的ENP、
ENT,同时将低位片的LOAD、ENP、ENT管脚和高位片LOAD接到VCC=5V的电压源
上,低位片和高位片CLK端共同接到时钟脉冲CP上。
U1为低位片(十进制计数器),U2为高位片(六进制计数器)。U2从“0000”
状态开始,到“1010”状态后,这个状态“1010”通过与非门U3使CLR为低电
平,此时U1清零。通过两片74LS161同步式连接,使得U2中的ENT、ENP为高
电平,在下一个脉冲到来时,开始计数。U2有从“0000”状态到“0101”六个
状态,下一个状态“0110”通过与非门U4,使得U2的CLR为低电平,U2清零。
U1每10个状态,U2有1个状态。所以LED从00开始计数,显示59后,又从
00重新开始。

图5 60进制计数器

U1
74LS161D
QA14QB13QC12QD11RCO15A
3
B
4

C
5

D
6

ENP
7
ENT
10

~LOAD
9
~CLR
1

CLK
2

U2
74LS161D
QA14QB13QC12QD11RCO15A
3
B
4

C
5

D
6

ENP
7
ENT
10

~LOAD
9
~CLR
1

CLK
2

U3
NAND2

U4
NAND2

VCC
5V

U5

DCD_HEX
U6

DCD_HEX

555_VIRTUAL
Timer

GND

DIS
OUTRST
VCC
THR
CON
TRI

3.848kΩ
R1

2.886kΩ
R2

10Ω

Rl

30nFC10nF
Cf

VDD
5V
Vs

U1
74LS161D
QA14QB13QC12QD11RCO15A
3
B
4

C
5

D
6

ENP
7
ENT
10

~LOAD
9
~CLR
1

CLK
2

U2
74LS161D
QA14QB13QC12QD11RCO15A
3
B
4

C
5

D
6

ENP
7
ENT
10

~LOAD
9
~CLR
1

CLK
2

U3
NAND2

U4
NAND2

VCC
5V

U5

DCD_HEX
U6

DCD_HEX

555_VIRTUAL
Timer

GND

DIS
OUTRST
VCC
THR
CON
TRI

3.848kΩ
R1

2.886kΩ
R2

10Ω

Rl

30nFC10nF
Cf

VDD
5V
Vs
五、实验报告
(1)画出实验电路图及状态转换图。
(2)总结使用集成计数器的体会。

六、仿真器件
74LS161 两片
7400N 两个
VCC(5V) 一个
DOC-HEX 两个
555-VIRTUAL Timar 一个

七、实验设备
(1)数字万用表(UA78A) 1块。
(2)模块化电子技术综合实验箱一台 1台。

相关文档
最新文档