synopsys简易教程
synopsys-dc中文教程(比较详细)

ASIC design flow
IP and Library Models
Verified RTL
Design Constraints
Logic Synthesis optimization&scan insertion Static Timing Analysis
no Time ok?
Floorplan placement, CT Insertion&Global routing
-output active_design+”.sdf” write_constraints –format sdf –cover_design\
-output constraints.sdf
ASIC design flow
Verification
利用SDF文件进行动态时序仿真:利用功能仿真时。用verilog 编写的test_bench 文件 形式验证:利用数学算法检查设计的逻辑的等效性,静态验证,需要的时间比动态仿真少,
方案。我们就以下几个方面对DC做以介绍:
script文件:由DC的命令构成,可使DC自动完成综合的整个过程。 DC支持的对象、变量、属性 DC支持的文件格式及类型 DC在HDL代码中的编译开关,控制综合过程
Translate_off/translate_on:指示DC终止或开始verilog 源代码转换的位置。 full_case:阻止case语句在不完全条件下生成latch。
பைடு நூலகம்
ASIC design flow
Compile and scan insert的scripts,采用bottom_up的编译方法
set_fix_multiple_port_net –buffer_constants –all compile –scan check_test create_test_pattern –sample 10 preview_scan insert_scan check_test 如果模块内的子模块具有dont_touch 属性需添加如下命令,因要插入扫描 remove_attribute find(-hierarchy design,”*”)dont_touch
SYNOPSYS界面操作课堂(一)

有问必答——SYNOPSYS界面操作课堂(一)一、操作界面模块问题汇总1、问:SYNOPSYS 如何快捷撤销操作?答:SYNOPSYS 中的撤回操作大致分为两种:(1)文字编辑撤回操作(使用 Ctrl+Z)例如在 Editor Window 中编辑宏或者在 Command Window 中编写命令,想要撤回编辑的文字,那么同时按住 Ctrl+Z 即可撤回。
(2)对镜头做出修改,之后返回到修改之前的镜头结构(使用 Ctrl+U 或者 F3 )例如:手动或者通过命令修改了镜头的厚度,或者优化了镜头,然后想要返回之前的结构,那么只需要直接使用 Ctrl+U 或者 F3 即可。
最多可以返回至10步之前。
通过 WS 修改表面1的厚度为 5mm。
然后关闭 WS 。
接着使用 Ctrl+U 或 F3 ,则镜头结构返回到修改之前。
再次打开 WS ,表面1厚度为 4mm。
若想要再返回到修改后的镜头结构,按 Ctrl+Y 就可以了。
2、问:输入命令 MMF,得到的 MTF 曲线图为什么不是彩色的?答:在CommandWindow中输入 MSS,在其打开的对话框中,将开关 35 打开。
或者直接在 Command Window 中输入 ON 35.3、问:SYNOPSYS 怎么缩小PAD窗口?答:点击 PAD 窗口的右上角图标。
4、问:点击按钮,为什么不显示图形呢?答:如下图5、问:SYNOPSYS 输入透镜的F数在哪里设置?答:你输入了透镜的曲率半径和材料,波长,系统的焦距就定了。
你输入了 YMP1 ,然后系统的 F 数就出来了。
或者,你想要使用最后一个面控制F数,那么可使用 UMC 命令UMC 1/(2*F/#)若想对 F 数进行修改,比例,F 数变为2。
这样的话,可以新建一个宏,输入如下代码:CHGFNO 2END6、问:下图中, X 方向不能取样?答:点击 Define skew field 按钮。
7、问: ZSEARCH 是否有类似 DSEARCH 中的 USE CURRENT 功能?答:目前 ZSEARCH 中没有 USE CURRENT功能。
Synopsys系列软件破@解及安装配置教程

Synopsys系列软件破@解及安装配置教程Synopsys 系列软件破@解及安装配置教程一、生成 lic:1、使用Synopsys.src 替换EFA LicGen 0.4b\packs 目录下的Synopsys.src(此处 feature要全,生成的 lic 就好用)2、利用 LicGen.exe 生成 synopsys.dat(注意:选 custom,并填入 hostid)3、在虚拟 dos 环境下(在 windows 界面下,使用 cmd 进入),键入:sssverify synopsys.dat得到 SECRET DATA4、在 windows 界面下,双击 KeyGen.exe填入上一步得到的 SECRET DATA 和第 2 步使用的 hostid。
Generate 之后在本目录下会产生一个 license.dat 文件。
5、将 license.dat 中的 SSS Feature 拷贝到 synopsys.dat。
如:FEATURE SSS snpslmd 1.0 31-dec-2020 uncounted AD05306DE9F195C50A3E \VENDOR_STRING="69656 d1d88 34cc3 38a6f 538ce df1f2 30f43 8258f \ecc22 fcf" HOSTID=000ae426dd0d ISSUER="Team ZWT 2006" \NOTICE="Licensed to mammoth//ZWT 2006 [PLEASE DO NOT DELETE THIS \SSS KEY]" SN=RK:1978-0:001224:0 START=1-jan-2006注:若第 2 步生成的 synopsys.dat 中有 SSS FEATURE,可将其删除。
6、修改 synopsys.dat 的头两句,如:SERVER 000ae426dd0d 27000DAEMON snpslmd /home/eda/linux/bin/snpslmd其中:snpslmd 指向 SCL 的 snpslmd。
Synopsys安装和license制作简易教程

令$ hostname 可获取 localhost,而 snpslmd 后面的链接地址设置成绝对路径
~/eda/synopsys/scl/linux/bin/snpslmd(附录 A 为 synopsys.dat 更改后的部分内容)
(a) 选择 Synopsys.lpd
(b) 生成 synopsys.dat
GRUB_CMDLINE_LINUX="find_preseed=/preseed.cfg auto noprompt priority=critical locale=en_US net.ifnames=0 biosdevname=0"
键入命令# update-grub 键入命令# vim /etc/network/interfaces,添加
# hercules export HERCULES_HOME=$SYNOPSYS_HOME/hercules export PATH=$PATH:$HERCULES_HOME/bin
# starrc export STARRC_HOME=$SYNOPSYS_HOME/starrc export PATH=$PATH:$STARRC_HOME/bin
auto eth0 iface eth0 inet dhcp
重启系统 新建 synopsys 工具安装目录,并拷贝安装源 tar 压缩包到 sources 文件夹下,如图 3 所
示;同时将 installer_v3.2.tar 解压到文件夹 installer 中
图 3 安装目录树
以安装 Synopsys design compiler, dc 为例,而 scl、vcs 和 pt 的安装同 dc(注:synopsys 的其他工具的安装过程都相同) 键入命令$ ~/eda/synopsys/installer/setup.sh 开启图形安装界面,如图 4(a)所示 选择安装源路径为/home/jack/eda/synopsys/sources,如图 4(b)所示 选择安装 syn,即为 dc,如图 4(c)所示 选择安装路径为/home/jack/eda/synopsys/dc,如图 4(d)所示 其他未说明步骤按默认处理
三.Synopsys软件安装

最好在root 下安装,可以免去很多不必要的麻烦。
按下面步骤进入rootA.启动终端输入suB.口令***这里输入你自己的密码C.就进入到root 下了开始安装1. 目录结构,本人在linux 的根目录下建了/usr/synopsys 文件夹,安装文件全部解压在/usr/synopsys/setup下,需要说明的是必须用管理员的身份进入usr 文件夹才能在其中新建文件夹。
下面是我的软件安装目录结构,仅供查考:/usr/synopsys|---installer|---scl|---license|---dc2008.09|---vcs2011.03|---pt2011.062. 安装installer,将installer2.0 解压到installer 文件夹即可。
解压后出现以下文件:3. 安装SCL在终端root 下出现安装界面选中scl 解压所在文件夹,这里的source 为/usr/synopsys/setup/scl_v10.9.3_linux 然后一直next,过程中有些选项都不需要管,也不需要填,直到选中安装目标路径,这里的安装路径是/usr/synopsys/scl,同样把scl_common 文件安装到scl。
4. dc2008安装同样的方法可以安装common 包和linux 包,安装这两个包的时候最好分开安装,先安装linux 包。
例如,我的common 和linux 包都放在/usr/synopsys/setup 下,安装common时source为/usr/synopsys/setup/Design_Compiler_2008.09_common,选中这个文件后按Done。
然后一路next,可能会出现替代呀,没有平台呀什么问题的对话框,不管它,只选能继续安装的。
但是安装目录一定要安装在一起!即都安装在/usr/synopsys/dc2008.09 下!5.vcs2011,pt2009安装安装文件解压在setup目录下,common 包和linux 包安装方法和上面的dc2008一样。
Synopsys系列软件安装说明

Synopsys系列软件安装说明magellan。
Synopsys软件一共有三个:VCS、formality、安装这是一套验证软件,现在我们说一下它们的安装流程:VWmare1.安装执行可执行文件。
安装无注意事项。
按照步骤安装直到完成。
REDHAT4.22.安装REDHAT 。
加载运行虚拟机,在file选项下选择new下的virtual mashine在左下角虚拟光驱中加载接下来按照提示加载在提示加载其他的光盘时,disc1.这。
加载之后记得connect(安装前提是硬盘空间最小要15G)剩下的光盘镜像,样直到安装完成。
3.安装VMware Tools开始启动系统,然后用安装完系统后,点击start this virtual machine账号登陆,密码就是在安装系统时自己设置的密码。
在上面的工具栏菜单root界面外,不LINUX选择VM\install VMware Tools(目的是鼠标可以直接移动到共享文件windowsLINUX界面的大小,同时也可以实现和再需要Ctrl+Alt;设置拷贝到任何目VMwareTools-6.0.0-45731.tar.gz夹),生成VmWare Tools后将zxvf录下,然后在终端中的该目录下用tar –命令进行解压,然后进入解压后得到的VMwareTools-7.8.4-126130.tar.gz一切选择./ vmware-install.pl进行安装(vmware-tools-distrib的目录,执行默认就行)。
安装目录下的一个另一种方法:如果第一种方法不行,出现错误,就加载VM里面有个文件linux.iso镜像,在系统中打开cd-romhomeTools压缩包。
把它拷贝到VMwareTools-8.1.3-203739.tar.gz就是VMwarexvzfVMwareTools-8.1.3-203739.tar.gz 解压缩文件,文件夹下,然后用tar – ./vmware-install.pl 进行安装。
synopsys工具安装教程

前言:这是本人经过两个星期的奋斗,从对linux命令的生涩到熟练,对后端工具的陌生到熟悉的一段战斗史!!!下面我把我的操作过程详细写下,供大家学习参考!!一:准备工作所需平台1、vmware92、RHEL(红帽企业版)6.3Synopsys 工具1、installer_v3.0(安装synopsys EDA tools 的图形界面的安装工具)2、scl_10.9。
3(synopsys license 管理工具,用于启动tools 的features)3、dc_2009.06(逻辑综合工具)4、icc_2009.06(布局布线工具)5、pt_2012。
12(时序分析工具)License生成工具1、EFA LicGen 0。
4b2、Synopsys SSS Feature Keygen3、Synposys。
src(最新的据我所知是40kb大小,有比较全的features)二:搭建RHEL1、安装vmware:本章节详见另一个文档。
文档名为“vmware安装手册”。
还有一份汉化包,需要可自行下载。
2、安装RHEL:本章详见另一个文档。
文档名为“RHEL6。
3安装手册"。
Ps:有一个页面会让你选择安装的软件包,这个时候人工勾上所有的软件包,不然启eda 工具的时候会发现很多系统库找不到。
三:vmware tools安装1、以root用户进入linux,找到左上角的VM选项,下拉之后选择安装wmwaretools.过一会儿会弹出来一个框框,显示vmwaretools—9.2.2-893683。
tar。
gz然后新开一个终端,输入cd /media 你会看到vmwaretools—9.2.2—893683.tar.gz 就在那里。
然后把他拷贝出来,后解压安装。
具体命令可以网上搜索。
四:license制作License制作要用到上述的材料,具体详见另一个文档。
文档名为“license制作". 将license文件,即synopsys.dat文件放到linux下的共享文件夹。
synopsys vdk使用方法

Synopsys VDK 使用方法Synopsys VDK(Virtualizer Development Kit)是一款用于虚拟开发和测试的软件工具。
它提供了一套完整的虚拟开发环境,包括模拟器、调试器和分析工具,帮助用户在不依赖硬件的情况下进行软件开发和测试。
本文将介绍Synopsys VDK的使用方法,包括安装、配置、使用和调试等方面的内容。
一、安装VDK1.1 下载VDK安装包在Synopsys冠方全球信息站上下载VDK的安装包,选择适合自己系统的版本进行下载。
1.2 安装VDK解压下载的安装包并按照提供的安装指南进行VDK的安装。
在安装过程中,可以根据自己的需求选择安装的组件和路径。
1.3 激活VDK安装完成后,根据提供的激活码激活VDK软件。
二、配置VDK2.1 创建新的虚拟开发项目在VDK中创建新的虚拟开发项目,设置项目的名称、目标处理器和其他相关配置信息。
2.2 导入软件模型将需要开发和测试的软件模型导入到VDK中,包括处理器模型、外设模型等。
2.3 配置虚拟开发环境配置VDK的虚拟开发环境,包括处理器的初始化、外设的连接和虚拟的外部环境设置等。
三、使用VDK3.1 虚拟化软件开发在VDK中进行软件的开发,包括编译、调试和运行等操作。
可以使用VDK提供的调试器进行软件的调试和分析。
3.2 虚拟化软件测试利用VDK进行软件的测试,包括单元测试、集成测试和系统测试等。
可以通过虚拟化的方式模拟各种复杂的场景和情况进行测试。
3.3 虚拟化性能分析使用VDK进行软件的性能分析,包括性能指标的监控、分析和优化等。
可以通过VDK提供的分析工具进行性能分析的工作。
四、调试VDK4.1 软件调试在VDK中进行软件的调试工作,包括断点设置、变量监视和程序运行状态检查等。
4.2 虚拟硬件调试利用VDK进行虚拟硬件的调试,包括外设的模拟、接口的调试和虚拟硬件的状态监控等。
4.3 软硬件协同调试在VDK中进行软硬件协同的调试工作,包括软硬件的交互调试、数据传输的监控和协同数据处理等。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录;
2.编写vhdl源程序;
3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件;
4.编译vhdl源程序;
5.运行vhdldbx 仿真器;
6.运行synopsys的综合器;
7.完成综合后的门级仿真;
以一个一位加法器为例,进行具体说明(用户界面为CDE):
1)创建adder 目录:
可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder;
2)在adder目录下创建work目录;
3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT
DEFAULT:work
TIMEBASE = NS
4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下:
library ieee;
use ieee.std_logic_1164.all;
entity adder1 is
port(din1 : in std_logic;
din2 : in std_logic;
cin : in std_logic;
dout: out std_logic;
cout: out std_logic);
end adder1;
architecture rtl of adder1 is
begin
dout <= din1 xor din2 xor cin;
cout <= (din1 and din2) or (cin and (din1 xor din2));
end rtl;
5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee;
use ieee.std_logic_1164.all;
entity tb_adder1 is
end tb_adder1;
architecture rtl of tb_adder1 is
component adder1 is
port(din1 : in std_logic;
din2 : in std_logic;
cin : in std_logic;
dout: out std_logic;
cout: out std_logic);
end component ;
signal din1 : std_logic:='1';
signal din2 : std_logic:='0';
signal cin : std_logic;
signal dout : std_logic;
signal cout : std_logic;
for all : adder1 use entity work.adder1(rtl);
begin
u1 : adder1 port map(din1,din2,cin,dout,cout);
din1 <= not din1 after 10 ns;
din2 <= not din2 after 20 ns;
cin <= '0','1' after 40 ns;
end rtl;
configuration test_adder1 of tb_adder1 is
for rtl
end for;
end test_adder1;
6)编译源程序:
有两种方法可供使用:
A:使用命令vhdlan adder1.vhd tb_adder1.vhd
B:用批处理文件。
如analyze.sh
vhdlan –noevent \
adder1.vhd \
tb_adder1.vhd
保存文件analyze.sh,并将其属性(property)改为可执行。
方法如下:可以在文件管理器中修改,也可以用unix命令:chmod 755 analyze.sh
7)模拟(仿真):
使用仿真命令:vhdldbx &
注:在仿真器中常用的命令如下:trace,step,next,monitor,run,list,lv (-v),quit等;具体用法参考相应的文档资料。
8)综合:
使用命令:design_analyzer &
注:(1)综合器中常用的命令如下:read,clock_specify,design_enviroment,optimization 等;具体用法参考相应的文档资料。
(2)如果有自己的工艺库,则应将根目录下的.synopsys_dc.setup 修改为:
search path :
link library =
target library =
symbol library =
9)保存文件:
将文件保存为vhdl格式,如adder_syn.vhd
10)修改原来的testbench:
将tb_adder1.vhd 中的for all : adder1 use entity work.adder1(rtl);改为:for all : adder1 use entity work.adder(syn_rtl);(syn_rtl为adder_syn.vhd中,adder1的结构体名)。
11)编译:与第6步相同。
12)仿真:与第7步相同。
注:若须打印波形,则应将其存为.ps文件(如:wave.ps);然后使用打印命令,选择打印选项为文件形式,并写入文件名(如:wave.ps)。