四位二进制数据比较器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Compare[四位二进制比较器]

模块文件

module compare(a,b,f1,f2,f3);

input[3:0]a,b;

output f1,f2,f3;

reg f1,f2,f3;

always@(a or b)

begin

if(a>b) begin f1=1;f2=0;f3=0; end

else if(a

else if(a==b) begin f1=0;f2=0;f3=1; end

end

endmodule

测试文件

module compare_t;

reg[3:0]a_t,b_t;

wire f1_t,f2_t,f3_t;

initial

begin

a_t=0;

b_t=0;

#10 a_t=4'b0010;

b_t=4'b0010;

#10 a_t=4'b0101;

b_t=4'b0010;

#10 a_t=4'b0001;

b_t=4'b0110;

end

compare m(.a(a_t),.b(b_t),.f1(f1_t),.f2(f2_t),.f3(f3_t));

endmodule

相关文档
最新文档