数电大作业
哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器学院:航天学院班级:学号:姓名:教师:设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。
设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。
然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。
程序代码:modulejishuqi(out,reset,clk);output [7:0] out;inputreset,clk;reg [7:0] out;always @(posedgeclk)beginif(!reset)out<=8'h00;else if(out>=113)out=8'h00;else out<=out+1;endendmodule激励源设置程序:`timescale 1 ns/ 1 psmodulejishuqi_test();regclk;reg reset;wire [7:0] out;jishuqi i1 (.clk(clk),.out(out),.reset(reset));initialbegin#1 clk=0;#10 reset=0;#40 reset=1;endalways #20 clk=~clk ;endmoduleModelsim仿真波形图:注二进制数01110001化成十进制数为113,因此得到了正确的波形图。
RTL ViewerTechnology Map Viewer。
电大高等数学基础形考作业~参考答案

【高等数学基础】形考作业4答案第5章不定积分 第6章定积分及其应用(一)单项选择题1 1.若f(x)的一个原函数是—,则f (x)( D )•xlnx 4 — f 下列等式成立的是(D ).x x x-Jf (x)dx f (x) df (x) f (x) d f (x)dx f (x) 一 f (x)dx f (x)若 f (x) dx f (x)dx (B ).2.若函数F(x)与G(x)是同一函数的原函数,则F(x) G(x) c(常数).7•若无穷积分1—p dx 收敛,xsin x c cosx c sin x c d cosx c - dx x 2f (x 3 4)dx(B).1 1 1 _ f (x 3)二 f (x)二 f (x 3)若 f (x)dx F(x) c ,则 一 f( _x)dx (B 1 c -=F ^/x) c 下列无穷限积分收敛的是(D).x 尝(二)填空题 x f (x)dx .3 2 3 1 1〜f(x 3)x 2匚加二 c ,.3 3 F(.x) c2F(..x) cF(2..x) dx —7 .•函数f(x)的不定积分是dx x 1356. 3(sin x2)dx 32. 3. (三)计算题 1 cos- 汁dx x 如 e . ---- dx x -^dx xln x xsin 2xdx cos 1 d(1)x x .1 sin xe x d 、x 2e x c 1 d(ln x)lnx1 xcos2x2In(ln x)1 cos2xdx 21 x cos 2x 1 si n2x c2 4cosx ,贝UF(x)与G(x)之间有关系式9cos(3x)e3 In x e115.dx.(3 In x)d(3In x)(3In x):1 x12212x .1 2x 1 1 1 2x . 12 1 2x 1 1 2 1 6.xe dx-e x—e dx-e -e 0 -e — 0 20 2 02 44 4e2 x e1 e2 e 17.xln xdx——Inxdx12 1 2 1 24eln x . 1 , ee 1 , 1 1 e2 ,& d2 dx — I—dx11 xx 11xe x1e(四)证明题a1.证明:若f(x)在[a, a ]上可积并为奇函数,则f(x)dx 0 .aaaa=0 f( x)dx o f (x)dx J f (x) f ( x)]dx 证毕f (x)dxaaf( t)dt a f( at)dtf(t)dtf(x)dxa f (x)dxaa f (x)dxa0证毕2.证明:若f (x)在[a, a]上可积并为偶函数,0 f (x)dxaaaf(x)dx0 f (x)dxa 证:a3•证明: 证:af(x)dx oa o[f (X )af(x)dx of (x)dxf ( x)]dxf (x)dxf( aaaf(x)dxax)dx o f(x)dxa0 f(x)dx .x x23. d e dx e4. (tan x) dx tan x c5.若f(x)dx cos3x c,贝U f (x)。
电大数学思想与方法形考作业:通关作业答案

电大数学思想与方法形考作业:通关作业答案第一关3题目1巴比伦人是最早将数学应用于()的。
在现有的泥板中有复利问题及指数方程。
选择一项:A. 农业B. 工程C. 商业D. 运输题目2《九章算术》成书于(),它包括了算术、代数、几何的绝大部分初等数学知识。
选择一项:A. 战国时期B. 商朝C. 汉朝D. 西汉末年题目3金字塔的四面都正确地指向东南西北,在没有罗盘的四、五千年的古代,方位能如此精确,无疑是使用了()的方法。
选择一项:A. 几何测量B. 代数计算C. 天文测量D. 占卜题目4在丢番图时代(约250)以前的一切代数学都是用()表示的,甚至在十五世纪以前,西欧的代数学几乎都是用()表示。
选择一项:A. 符号,符号B. 文字,文字C. 符号,文字D. 文字,符号题目5古埃及数学最辉煌的成就可以说是()的发现。
选择一项:A. 四棱锥台体积公式B. 球体积公式C. 进位制的发明D. 圆面积公式题目6《几何原本》中的素材并非是欧几里得所独创,大部分材料来自同他一起学习的()。
选择一项:A. 毕达哥拉斯学派B. 柏拉图学派C. 亚历山大学派D. 爱奥尼亚学派题目7古印度人对时间和空间的看法与现代天文学十分相像,他们认为一劫(“劫”指时间长度)的长度就是(),这个数字和现代人们计算的宇宙年龄十分接近。
选择一项:A. 1亿年B. 10亿年C. 1000亿年D. 100亿年题目8根据亚里士多德的想法,一个完整的理论体系应该是一种演绎体系的结构,知识都是从()中演绎出的结论。
选择一项:A. 自然命题B. 一般原理C. 最终原理D. 初始原理题目9欧几里得的《几何原本》几乎概括了古希腊当时所有理论的(),成为近代西方数学的主要源泉。
选择一项:A. 几何与代数B. 数论及几何学C. 代数与数论D. 几何题目10数学在中国萌芽以后,得到较快的发展,至少在()已经形成了一些几何与数目概念。
选择一项:A. 六七千年前B. 春秋战国时期C. 新石器时代D. 五千年前第二关3题目1欧几里得的《几何原本》是一本极具生命力的经典著作,它的著名的平行公设是( )。
哈工大电大数字电子技术基础大作业

哈工大电大数字电子技术基础大作业
H a r b i n I n s t i t u t e o f T e c h n o l o g y
数字电子技术基础大作业
课程名称:数字电子技术基础
设计题目:血型与状态机
院系:
班级:
设计者:
学号:
哈尔滨工业大学
血型逻辑电路设计
一实验目的
1.掌握采用可编程逻辑器件实现数字电路与系统
的方法。
2.掌握采用Xilinx_ISE软件开发可编程逻辑器件
的过程。
3.学会设计血型能否输血的数字电路。
4.掌握Verilog HDL描述数字逻辑电路与系统的方
法。
二设计要求
1.采用BASYS2开发板开关,LED,数码管等制作验
证能否输血的电路。
2.采用Xilinx_ISE软件进行编程、仿真与下载设
计到BASYS2开发板。
三电路图
1.电路模块图(简化)
应用:
2.内部电路组成(简化)。
数电大作业(交通指示灯+电子琴+智能钟表)

数电大作业(交通指示灯+电子琴+智能钟表)-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII(交通指示灯是可以实现的电子琴那个是不知道是否正确自己验证吧)(最后还有两个题一个是交通指示灯的有点复杂的另一个是时钟的个人感觉也是有点复杂)一、交通灯电路设计要求:1.设计一个十字路口交通灯控制电路,要求东西方向车道和南北方向车道两条交叉掉路上的车辆交替运行,每次通行的时间设置为24秒。
2.在绿灯转为红灯时,要求黄灯先亮4秒钟,才能变换运行车道。
3.可用LED模拟交通灯。
4.用倒计时显示每个状态的时间。
思路分析:1.电路有四个状态:东西绿南北红→东西黄南北红→东西红南北绿→东西红南北黄一共有四个状态循环,所以可以先用一个模4计数器来转换这四个状态。
设计电路如图所示:这里采用74LS163构成模4计数器,状态由 00 → 01 → 10 → 11 进行循环。
L1(东西绿):当00时亮,其他状态时不亮。
L2(东西黄):当01时亮,其他状态时不亮。
L3(东西红):当10、11时亮,00、01时不亮。
L4(南北绿):当10时亮,其他状态时不亮。
L5(南北黄):当11时亮,其他状态时不亮。
L6(南北红):当00、01时亮,10、11时不亮。
电路可以这样实现:B A Y0 Y1 Y2 Y30 0 0 1 1 10 1 1 0 1 11 0 1 1 0 11 1 1 1 1 0所以,Y0~Y4口接上非门后可以控制L1、L2、L4、L5。
L3=B,L6=B,所以将L3直接连在1B端,将1A端加非门与L6相连。
通过这样的连接便可以实现这四个状态的循环。
接下来要完成电路的计数部分:由于要实现倒计时显示,所以可以采用两片74LS190,该芯片是十进制加减法计数器。
通过网络找出一下功能表。
由于要实现倒计时,所以U/D端输入高电平,此时计数器进行减计数。
用两片74LS190,通过RC端进行异步级联,由于红灯28秒,黄灯4秒,绿灯24秒,所以各状态及持续时间如下:状态1:东西绿南北红(00):24秒状态2:东西黄南北红(01):4秒状态3:东西红南北绿(10):24秒状态4:东西红南北黄(11):4秒当状态转变时,给计数器置数即可。
电大离散数学作业2

离散数学集合论部分形成性考核书面作业本课程形成性考核书面作业共3次,内容主要分别是集合论部分、图论部分、数理逻辑部分的综合练习,基本上是按照考试的题型(除单项选择题外)安排练习题目,目的是通过综合性书面作业,使同学自己检验学习成果,找出掌握的薄弱知识点,重点复习,争取尽快掌握.本次形考书面作业是第一次作业,大家要认真及时地完成集合论部分的综合练习作业.要求:学生提交作业有以下三种方式可供选择:1. 可将此次作业用A4纸打印出来,手工书写答题,字迹工整,解答题要有解答过程,完成作业后交给辅导教师批阅.2. 在线提交word文档3. 自备答题纸张,将答题过程手工书写,并拍照上传.一、填空题1.设集合{1,2,3},{1,2}A B==,则P(A)-P(B )= {{3}, {1,2,3}, {1, 3 }, {2,3}} ,A⨯B= {<1,1>,<1,2>,<2,1>,<2,2>,<3,1>,<3,2>} .2.设集合A有10个元素,那么A的幂集合P(A)的元素个数为1024 .3.设集合A={0, 1, 2, 3},B={2, 3, 4, 5},R是A到B的二元关系,R⋂∈y∈x<且=且>∈x{B,,AAyyBx}则R的有序对集合为{<2, 2>,<2, 3>,<3, 2>},<3, 3> .4.设集合A={1, 2, 3, 4 },B={6, 8, 12},A到B的二元关系R=}x∈y∈><y=2,,,{ByxAx那么R-1={<6,3>,<8,4>} .5.设集合A={a, b, c, d},A上的二元关系R={<a, b>, <b, a>, <b, c>, <c, d>},则R具有的性质是反自反性,反对称性.6.设集合A={a, b, c, d},A上的二元关系R={<a, a >, <b, b>, <b, c>, <c, d>},若在R中再增加两个元素<c, b>, <d, c>,则新得到的关系就具有对称性.7.如果R1和R2是A上的自反关系,则R1∪R2,R1∩R2,R1-R2中自反关系有 2 个.8.设A={1, 2}上的二元关系为R={<x, y>|x∈A,y∈A, x+y =10},则R的自反闭包为{<1, 1>, <2, 2>} .9.设R是集合A上的等价关系,且1 , 2 , 3是A中的元素,则R中至少包含<1, 1>, <2, 2>, <3, 3> 等元素.10.设A={1,2},B={a,b},C={3,4,5},从A到B的函数f ={<1, a>, <2, b>},从B到C的函数g={< a,4>, < b,3>},则Ran(g︒ f)= {3,4} .二、判断说明题(判断下列各题,并说明理由.)1.若集合A = {1,2,3}上的二元关系R={<1, 1>,<2, 2>,<1, 2>},则(1) R是自反的关系;(2) R是对称的关系.解:(1)错误,R不是自反关系,因为没有有序对<3,3>.(2)错误,R不是对称关系,因为没有有序对<2,1>2.设A={1,2,3},R={<1,1>, <2,2>, <1,2> ,<2,1>},则R是等价关系.解:错误, 即R不是等价关系.因为等价关系要求有自反性x R x, 但<3, 3>不在R中.3.若偏序集<A,R>的哈斯图如图一所示,则集合A的最大元为a,最小元不存在.οοοοab cd图一οοοg e fh ο解:错误.集合A的最大元不存在,a是极大元.4.设集合A={1, 2, 3, 4},B={2, 4, 6, 8},,判断下列关系f是否构成函数f:A→,并说明理由.B(1) f={<1, 4>, <2, 2,>, <4, 6>, <1, 8>};(2) f={<1, 6>, <3, 4>, <2, 2>};(3) f={<1, 8>, <2, 6>, <3, 4>, <4, 2,>}.解:(1) f不能构成函数.因为A中的元素3在f中没有出现.(2) f不能构成函数.因为A中的元素4在f中没有出现.(3) f可以构成函数.因为f的定义域就是A,且A中的每一个元素都有B中的唯一一个元素与其对应,满足函数定义的条件.三、计算题1.设}4,2{=CB==E,求:A},5,4,3,2,1{=},5,2,1{4,1{},(1) (A⋂B)⋃~C;(2) (A⋃B)-(B⋂A) (3) P(A)-P(C);(4) A⊕B.解:(1)因为A∩B={1,4}∩{1,2,5}={1},~C={1,2,3,4,5}-{2,4}={1,3,5}所以(A∩B ) ⋃~C={1}⋃{1,3,5}={1,3,5}(2)(A⋃B)-(B⋂A)= {1,2,4,5}-{1}={2,4,5}(3)因为P(A)={φ,{1}, {4}, {1,4}}P(C)={φ,{2},{4},{2,4}}所以P(A)-P(C)={ φ,{ 1},{ 4},{ 1,4}}-{φ,{ 2},{ 4},{2,4 }}(4) 因为A⋃B={ 1,2,4,5}, A⋂B={ 1}所以A⊕B=A⋃B-A⋂B={1,2,4,5}-{1}={2,4,5}2.设A={{1},{2},1,2},B={1,2,{1,2}},试计算(1)(A-B);(2)(A∩B);(3)A×B.解:(1)A-B ={{1},{2}}(2)A∩B ={1,2}(3)A×B={<{1},1>,<{1},2>,<{1},{1,2}>,<{2},1>,<{2},2>,<{2},{1,2}>,<1,1>,<1,2>,<1, {1,2}>,<2,1>,<2,2>,<2, {1,2}>}3.设A={1,2,3,4,5},R={<x,y>|x∈A,y∈A且x+y≤4},S={<x,y>|x∈A,y∈A且x+y<0},试求R,S,R•S,S•R,R-1,S-1,r(S),s(R).解:R={<1,1>,<1,2>,<1,3>,<2,1>,<2,2>,<3,1>}, \R-1={<1,1>,<2,1>,<3,1>,<1,2 >,<2,2>,<1, 3>}S=φ, S-1 =φr(S)={<1,1>,<2,2>,<3,3>,<4,4>,<5,5>}s(R)= {<1,1>,<1,2>,<1,3>,<2,1>,<2,2>,<3,1>}R •S=φS •R=φ4.设A ={1, 2, 3, 4, 5, 6, 7, 8},R 是A 上的整除关系,B ={2, 4, 6}.(1) 写出关系R 的表示式; (2 )画出关系R 的哈斯图;(3) 求出集合B 的最大元、最小元.解:R={<1,1>,<1,2>,<1,3>,<1,4,<1,5>,<1,6>,<1,7>,<1,8>,<2,2>,<2,4>,<2,6>,<2,8>,<3,3>,<3,6>,<4,4>,<4,8>,<5,5>,<6,6>,<7,7>,<8,8>}(2)关系R 的哈斯图如图(3)集合B 没有最大元,最小元是:2四、证明题1.试证明集合等式:A ⋃ (B ⋂C )=(A ⋃B ) ⋂ (A ⋃C ).证明:设,若x ∈A ⋃ (B ⋂C ),则x ∈A 或x ∈B ⋂C , 即 x ∈A 或x ∈B 且 x ∈A 或x ∈C .即x ∈A ⋃B 且 x ∈A ⋃C ,即 x ∈T =(A ⋃B ) ⋂ (A ⋃C ),所以A ⋃ (B ⋂C )⊆ (A ⋃B ) ⋂ (A ⋃C ).反之,若x ∈(A ⋃B ) ⋂ (A ⋃C ),则x ∈A ⋃B 且 x ∈A ⋃C ,即x ∈A 或x ∈B 且 x ∈A 或x ∈C ,即x ∈A 或x ∈B ⋂C ,7即x∈A⋃ (B⋂C),所以(A⋃B) ⋂ (A⋃C)⊆ A⋃ (B⋂C).因此.A⋃ (B⋂C)=(A⋃B) ⋂ (A⋃C).2.试证明集合等式A⋂ (B⋃C)=(A⋂B) ⋃ (A⋂C).证明:设S=A∩(B∪C),T=(A∩B)∪(A∩C),若x∈S,则x∈A且x∈B∪C,即x∈A且x∈B或x∈A且x∈C,也即x∈A∩B或x∈A∩C,即x∈T,所以S⊆T.反之,若x∈T,则x∈A∩B或x∈A∩C,即x∈A且x∈B 或x∈A且x∈C也即x∈A且x∈B∪C,即x∈S,所以T⊆S.因此T=S.3.对任意三个集合A, B和C,试证明:若A B = A C,且A,则B = C.证明:设x∈A,y∈B,则<x,y>∈A⨯B,因为A⨯B = A⨯C,故<x,y>∈ A⨯C,则有y∈C,所以B⊆ C.设x∈A,z∈C,则<x,z>∈ A⨯C,因为A⨯B = A⨯C,故<x,z>∈A⨯B,则有z∈B,所以C⊆B.故得B=C.4.试证明:若R与S是集合A上的自反关系,则R∩S也是集合A上的自反关系.证明:R1和R2是自反的,∀x∈A,<x, x> ∈R1,<x, x> ∈R2,则<x, x> ∈R1∩R2,所以R1∩R2是自反的.。
数电大作业—30s倒计时器

1、掌握计时器的逻辑功能,能描述电路元器件参数、功能和系统工作原理。 2、熟悉电路中所用到各集成模块的管脚及功能。
3、通过proteus仿真,观察并大致了解其设计在实际生活中的效果。
三、实验原理和电路
实验电路仿真图
实验元器件及介绍:两个74LS192双时钟方式的十进制可逆计数器、两个4511七段译码器、
1
4011四2输入与非门、4069六反相器、LED灯,开关
, 74LS192
74LS192是十进制可编程同步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。其中CP、CP分别是加计数器、减计数器的时钟脉冲输入UD
端(上升沿有效)。PL是异步并行置数控制端(低电平有效),TC、TC分别是进位、UD借位输出端(低电平有效),MR是异步清除端,P0~P3是并行数据输入端,Q3~Q0是输出端。我们将用到的是它的减计数功能。
不过在此次proteus仿真中,就是一个非门,如下图:
4
5
74ls192引脚图:
CPCP:计数芯片时钟脉冲输入 :倒计时时钟脉冲输入 UD
PL:异步并行负载(低电平)输入 Pn:并行数据输入
Qn:触发器输出 MR:异步主复位(清除)输入 TCTC:终端数最多输出 :终端倒计时输出 UD
74ls192功能表:
输入 输出 MR PL CPU CPD P3 P2 P1 P0 Q3 Q2 Q1 Q0 1 X X X X X X X 0 0 0 0 0 1 X X d c b a d c b a 0 0 1 X X X X 加计数
2
0 0 1 X 192的工作原理:
CPCP 当PL=1,MR=0时,若时钟脉冲加入到端,且置数=1,则计数器在预置数的基UD
数电大作业流水灯

综合设计题一.流水灯1.总体思路8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。
同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制2.使用元件3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。
3.电路原理框图4.元器件在本电路中的主要功能○1555定时器555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而可以改变灯亮时间,而且它的振荡周期为T=0.7(R1+2R2)C。
此处C=0.1uF.由电路参数可知,当R1为10kΩ时,灯亮时间为0.0014s.它的功能主要由两个比较器决定。
两个比较器的输出电压控制RS 触发器和放电管的状态。
在电源与地之间加上电压,当5 脚悬空时,则电压比较器C1的同相输入端的电压为2VCC /3,C2的反相输入端的电压为VCC /3。
若触发输入端TR 的电压小于VCC /3,则比较器C2的输出为0,可使RS 触发器置1,使输出端OUT=1。
如果阈值输入端TH 的电压大于2VCC/3,同时TR 端的电压大于VCC /3,则C1的输出为0,C2的输出为1,可将RS 触发器置0,使输出为0 电平。
电路图如下:○274LS161计数器74LS161计数器在本电路中的作用是产生000-111脉冲控制74LS138的A2A1A0,依次选通Y0-Y7。
产生脉冲序列也可以用74LS191是四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。
所以采用反馈置数法,产生000-111脉冲序号,时钟脉冲外部接入,原理图如下图所示○374LS138译码器74LS138译码器在本电路中的作用主要是选通指示灯发光。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数电作业课程名称:数字电子技术基础课程时间:2015年秋授课教师:康磊学生姓名:XXX学生班级:学生学号:联系电话:哈尔滨工业大学英才学院2015年12月大作业一一、设计目的利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。
状态转换图如图所示。
二、设计步骤1、安装ISE14.2,并学会如何仿真。
2、根据状态图编写verilog程序。
3、仿真并生成仿真波形图。
4、保存项目并完成报告。
三、程序源代码1、主程序`timescale 1ns / 1psmodule shudian1(clk,rst,din,out);input clk,rst,din;output out;reg[2:1] y, Y;reg out;parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11;always @(posedge clk or negedge rst)beginif(!rst)y <= A;elsey <= Y;endalways@(y or din) begincase(y)A: beginout = 0;if(din) Y=B;else Y=A;endB: beginout = 0;if(din) Y=C;else Y=A;endC: beginout = 0;if(din) Y=D;else Y=A;endD: beginout = 1;if(din) Y=D;else Y=A;enddefault:beginout = 0;Y = A;endendcaseendendmodule2、测试程序`timescale 1ns / 1ps module sudian11;// Inputsreg clk;reg rst;reg [20:0]data;assign din=data[20];// Outputswire out;// Instantiate the Unit Under Test (UUT)shudian1 uut (.clk(clk),.rst(rst),.din(din),.out(out));initialbeginrst = 0;#200 rst = 1;endinitialbeginclk = 0;endalwaysbegin#50 clk=~clk;endinitialbegindata=20'b10110111011110101101;endalways @(posedge clk)#2 data ={data[19:0],data[20]};endmodule四、仿真波形图将仿真时间设为1.5us,我们可以看到如下波形图。
可以看出,当输入信号有连续的三个或者三个以上的1,则电路输出在下一个时钟到来变为1,否则为0,且电路为Moore型,输出是当前状态的函数。
大作业二一、设计目的利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路立刻输出为1,否则为0。
状态转换图如图所示。
二、设计步骤1、根据状态图编写verilog程序。
2、仿真并生成仿真波形图。
3、保存项目并完成报告。
三、程序源代码1、主程序`timescale 1ns / 1psmodule shudian2(clk , w , rst , z);input clk, rst, w;output z;reg z;reg [1:0] y, Y;parameter A=2'b00, B=2'b01,C=2'b10;always @ (posedge clk or negedge rst)beginif(!rst)y = A;elsey <= Y;endalways @(w or y)begincase(y)A: beginif(!w)beginY = A;z = 0;endelsebeginY = B;z = 0;endendB: beginif(!w)beginY = A;z = 0;endelsebeginY = C;z = 0;endendC: beginif(!w)beginY = A;z = 0;endelsebeginY = C;z = 1;endenddefault:beginz = 0;Y = A;endendcaseendendmodule2、测试程序`timescale 1ns / 1psmodule shudian22;reg clk;reg rst;reg [20:0]data;assign w=data[20];wire z;// Instantiate the Unit Under Test (UUT) shudian2 uut (.clk(clk),.w(w),.rst(rst),.z(z));initial beginrst = 0;#200; rst=1;endinitial beginclk=0;endalwaysbegin#50 clk=~clk;endinitialbegindata=20'b10110111011110110101;endalways @(posedge clk)#2 data ={data[19:0],data[20]};endmodule四、仿真波形图将仿真时间设为1.5us,我们可以看到如下波形图。
可以看出,当输入信号有连续的三个或者三个以上的1,电路输出立刻为1,否则为0,电路为Mealy型,输出是当前状态和输入的函数。
大作业三一、设计目的利用Verilog HDL设计一个模为学号后三位(408)的同步加减法计数器,使其当输入X为0时立即执行加计数,当X为1时立即执行减计数。
二、设计步骤1、根据状态图编写verilog程序。
2、仿真并生成仿真波形图。
3、保存项目并完成报告。
三、程序源代码1、主程序`timescale 1ns / 1psmodule shudian3(clk,rst,out,X);input clk,rst;input X;output reg[8:0]out=0;parameter A=0,B=1;always @(posedge clk or X)case(X)A:beginif(!rst) out <= 0;else if(out>=407) out<=0;else out <= out+1;endB:beginif(!rst) out <= 0;else if(!out) out<=407;else out <= out-1;endendcaseendmodule2、测试程序`timescale 1ns / 1psmodule shudian33;reg clk;reg rst;reg X;wire [8:0] out;// Instantiate the Unit Under Test (UUT)shudian3 uut (.clk(clk),.rst(rst),.out(out),.X(X));parameter PERIOD = 2;always beginclk = 1'b0;#(PERIOD/2) clk = 1'b1;#(PERIOD/2);endinitial beginclk = 0;rst = 0;X = 0;#100;rst = 1;begin#1000;X=~X;endendendmodule四、仿真波形图1.当rst为1时,开始计数。
X=0时进行加计数2.当X=0时进行加计数,且模为408。
3. 当X=1时进行减计数。
4.当X=1时进行减计数,且模为408。
可以看出,这个同步加减法计数器完美的完成了加计数和减计数功能。