哈工大电大数字电子技术基础大作业

哈工大电大数字电子技术基础大作业
哈工大电大数字电子技术基础大作业

哈工大电大数字电子技术基础大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y

数字电子技术基础大作业

课程名称:数字电子技术基础

设计题目:血型与状态机

院系:

班级:

设计者:

学号:

哈尔滨工业大学

血型逻辑电路设计

一实验目的

1.掌握采用可编程逻辑器件实现数字电路与系统

的方法。

2.掌握采用Xilinx_ISE软件开发可编程逻辑器件

的过程。

3.学会设计血型能否输血的数字电路。

4.掌握Verilog HDL描述数字逻辑电路与系统的方

法。

二设计要求

1.采用BASYS2开发板开关,LED,数码管等制作验

证能否输血的电路。

2.采用Xilinx_ISE软件进行编程、仿真与下载设

计到BASYS2开发板。

三电路图

1.电路模块图(简化)

应用:

2.内部电路组成(简化)

四编程

1.源程序

module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi);

input M;

input N;

input P;

input Q;

input clk;

output E;

output[3:0] F;

output[3:0] G;

output[7:0] OUT;

output[3:0] CTL;

reg E;

reg[3:0] F;

reg[3:0] G;

reg[7:0] OUT;

reg[7:0] OUT1;

reg[7:0] OUT2;

reg[7:0] OUT3;

reg[7:0] OUT4;

reg[3:0] CTL=4'b1110;

output bi;

reg bi;

integer clk_cnt;

reg clk_400Hz;

always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000)

begin

clk_cnt <= 1'b0;

clk_400Hz <= ~clk_400Hz;

end

else

clk_cnt <= clk_cnt + 1'b1;

//位控制

reg clk_1Hz;

integer clk_1Hz_cnt; //1Hz发声信号always @(posedge clk)

if(clk_1Hz_cnt==32'd2*******-1)

begin

clk_1Hz_cnt <= 1'b0;

clk_1Hz <= ~clk_1Hz;

end

else

clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz)

CTL <= {CTL[2:0],CTL[3]};

//段控制

always @(CTL)

case(CTL)

4'b0111:

OUT=OUT1;

4'b1011:

OUT=OUT2;

4'b1101:

OUT=OUT3;

4'b1110:

OUT=OUT4;

default:OUT=4'hf;

endcase

always @(M or N or P or Q)

begin

E=(P&Q)|(~M&~N)|(~M&Q)|(~N&P); //选择能否输血

case(E)

1:

begin

OUT1=8'b10001001;

OUT2=8'b01100001;

OUT3=8'b01001001;

OUT4=8'b11111111;

bi=clk_400Hz;

end

0:

begin

OUT1=8'b00010011;

OUT2=8'b00000011;

OUT3=8'b11111111;

OUT4=8'b11111111;

bi=clk_1Hz;

end

endcase

end

always @(M or N or P or Q) //显示输入输出血型begin

if(M==1&&N==0)

F=4'b1000;

else if(M==0&&N==1)

F=4'b0100;

else if(M==1&&N==1)

F=4'b0010;

else

F=4'b0001;

end

always @(M or N or P or Q) //显示输入输出血型begin

if(P==1&&Q==0)

G=4'b1000;

else if(P==0&&Q==1)

G=4'b0100;

else if(P==1&&Q==1)

G=4'b0010;

else

G=4'b0001;

end

endmodule

2.管脚定义程序NET "M" LOC=N3;

NET "N" LOC=E2;

NET "P" LOC=L3;

NET "Q" LOC=P11;

NET "E" LOC=B2;

NET "OUT[7]" LOC = L14; NET "OUT[6]" LOC = H12; NET "OUT[5]" LOC = N14; NET "OUT[4]" LOC = N11; NET "OUT[3]" LOC = P12; NET "OUT[2]" LOC = L13; NET "OUT[1]" LOC = M12; NET "OUT[0]" LOC = N13; NET "CTL[3]" LOC = K14; NET "CTL[2]" LOC = M13; NET "CTL[1]" LOC = J12; NET "CTL[0]" LOC = F12; NET "clk" LOC=B8;

NET "F[3]" LOC=G1;

NET "F[2]" LOC=P4;

NET "F[1]" LOC=N4;

NET "F[0]" LOC=N5;

NET "G[3]" LOC=P6;

NET "G[2]" LOC=P7;

NET "G[1]" LOC=M11;

NET "G[0]" LOC=M5;

NET "bi" LOC=B6;

五仿真图

六下载设计到BASYS2开发板与实物图实物图:

附:程序流程:

1.基本电路设计

用MN 表示输入血型,PQ 表示受血者血型其中10表示A 型,01表示B 型,11表示AB 型,00表示O 型。用E 表示能否输血,1表示能,0表示不能。 M N P Q E M N P Q E 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 1 0 0 1 0 0 0 1 0 1 1 0 1 0 1 0 0 1 1 1 1 0 1 1 1 0 1 0 0 0 1 1 0 0 0 0 1 0 1 1 1 1 0 1 0 0 1 1 0 0 1 1 1 0 0 0

1

1

1

1

1

1

1

1

1

则卡诺图为:

MN PQ 00 01 11 10 00 1 0 0 0 01 1 1 0 0 11 1 1 1 1 10 1 0 0 1

表示式为:E PQ MN MQ NP =+++ 则设计一个四输入一输出的电路 其逻辑电路图为

M N

经过此电路图,编写程序,把输入引脚定位到开关上,把输出引脚定位到一个LED上便能够完成设计任务。

2.项目创新

(1)仅使用一个LED的亮与灭来表示能否输血效果不明显,为了加入一个更明显显示能否输血的指示,因此就用到了Basys2板子上自带的数码管,使其在能够输血时显示yes,不能输血时显示no。

观察硬件电路图发现,数码管只由一个片选端控制,所有如果使数码管显示不同字母,则需要利用人类的视觉暂留效应进行循环扫描,来使数码管来显示不同的信息。

(2)为了增强能否输血的提示效果,因此加入一个蜂鸣器,用声音提示能否输血。当系统开启切能输血时,蜂鸣器输出一低音震荡,当不能输血时,蜂鸣

器输出高音报警,提示不能输血。

(3)在加入蜂鸣器报警与数码管之后,使用LED 来表示能否输血已经多余,而且别人无法判断输血与受血分别是什么血型,因此把8个LED分成两组,其中第一组表示输血血型,第二组表示受血血型,第一个灯表示A型,第二个灯表示B型,第三个灯表示AB 型,最后一个灯表示O型。

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

刘朝友-装备设计大作业

机械装备大作业 卧式升降台铣床主传动系统设计 学院:机电学院 专业:机械设计制造及其自动化系 班级:1008104班 姓名:刘朝友 学号:1100801005 哈尔滨工业大学

目录 一、设计任务................................................................................................. 错误!未定义书签。 二、运动设计 (1) 1 确定转速系列 (1) 2 绘制转速图 (2) 3 确定变速组齿轮传动副的齿数及定比传动副带轮直径 (3) 4 绘制传动系统图 (5) 5 核算主轴转速误差 (6) 三、动力设计 (7) 1 传动轴的直径的确定 (7) 2 齿轮模数的初步计算 (8) 3、选择带轮传动带型及根数 (9) 参考文献 (9)

一、设计任务 设计题目:卧式升降台铣床主传动系统设计 已知条件:工作台面积320×12500mm2,最低转速31.5r/min ,公比φ=1.41,级数Z=12,切削功率N=5.5KW 。 设计任务: 1. 运动设计:确定系统的转速系列;分析比较拟定传动结构方案;确定传动副的传动 比和齿轮的齿数;画出传动系统图;计算主轴的实际转速与标准转速的相对误差。 2. 动力设计:确定各传动件的计算转速;初定传动轴直径、齿轮模数;选择机床主轴 结构尺寸。 二、运动设计 1、确定转速系列 已知最低转速为31.5r/min ,公比?=1.41,查教材表标准转速系列的本系统转速系列如下: 31.5 45 63 90 125 180 250 355 500 710 1000 1400r/min 则转速的调整范围max min 1400 44.4431.5 n n R n = == 1)传动组和传动副数可能的方案有: 12=4?3 12=3?4 12=3?2?2 12=2?3?2 12=2?2?3 前两个方案虽然可以减少轴的数目,但有一个传动组内有四个传动副。若采用一个四连滑移齿轮,则会增加轴向尺寸;若用两个双联滑移齿轮,操纵机构必须互锁防止两滑移齿轮同时啮合。故不采用。 对于后三个方案,遵循传动副“前多后少”的原则,选取方案12=3?2?2 2)确定结构式 12=3?2?2方案中,因基本组和扩大组排列顺序的不同而有以下6种扩大顺序方案: 63122312??=, 61222312??=, 16222312??= 36122312??=, 21422312??=, 12422312??= 方案1,2,3,4的第二扩大组26x =,2p =2,则2r = max ) 12(68r ==-??是可行的。方案5,6中,2x =4,23p =,则2r = max ) 13(416r ?=-??,不可行。 在可行的1,2,3,4方案中,为使中间传动轴变速范围最小,采用扩大顺序与传动顺序 一致的传动方案1,13612322=??。

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

机械制造装备设计大作业

《机械制造装备设计》大作业 一、大作业类型: 1.设计类 2.论文类 二、周知: 每位同学在课程结束前(16周)至少上交一份大作业作为本课程的考核材料,占课程总成绩的70%。电子版和打印版各一份,由各班学习委员收齐上交,电子版由各班学习委员刻录光盘后统一上交存档。 三、设计或撰写要求 (一)设计类 1. 机床主传动系统设计 设计目的:通过机床主传动系统设计,使学生进一步理解设计理论,得到设计构思、方案分析、零件计算、查阅技术资料等方面的综合训练,树立正确的设计思想,掌握基本的设计方法,培养学生具有初步机械系统设计和计算能力。 设计内容:机床主传动系统设计的运动设计和动力设计 (1)运动设计 根据给定的机床用途、规格、极限速度、转速数列公比(或转速级数),分析、比较、拟定传动结构方案(包括结构式、转速图和传动系统图),确定传动副的传动比及齿轮的齿数,并计算主轴的实际

转速与标准转速的相对误差。 (2)动力设计 根据给定的电动机功率和传动件的计算转速,初步计算传动轴直径、齿轮模数;确定皮带类型及根数,确定机床主轴结构尺寸。 设计要求: (1)机床的规格及用途; (2)运动计; (3)动力设计(包括零件及组件的初算); (4)其它需要说明或论证的问题; (5)参考文献。 机床主传动系统设计大作业篇幅不少于3000字。要求论证充分、计算正确、叙述简明、条理清楚、合乎逻辑、词句通顺、标点正确、文字工整、图表清晰。 大作业后须附有参考文献目录,包括作者、书刊名称、出版社和出版年份。在说明书中引用所列的参考文献时,只在方括号里注明所列文献序号即可。 设计题目:XX机床主传动系统运动和动力设计 (三相4极异步电机,同步转速1500rpm)

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

哈工大1系自动控制原理大作业

哈工大自动控制原理大作业

一、设计任务: 在新材料的分析测试工作中,需要在较宽的参数范围内真实再现材料的实际 工作环境。从控制系统的角度出发,可以认为,材料分析设备是一个能准确 跟踪参考输入的伺服系统。该系统的框图如图所示。 7. 继续参考题6给出的系统,试设计一个合适的超前校正网络,使系统的相角裕度为50,调节时间小于4秒(按2%准则),稳态速度误差常数为2秒-1。 二、设计过程: 原传递函数 ()042 (1)(2)(1)(1)2 G s s s s s s s = = ++++ 转折频率为11ω=和22ω=,剪切频率122c ωωω==,画出Bode 图如下:

系统的相位裕度2 18090arctan 2arctan 02 γ=---= 为了满足相位裕度50γ≥ 的条件,需要对系统进行超前补偿。由于要求稳态速度误差常数为2秒-1,所以放大系数K=2,即K 保持不变。 取50γ= ,11 1.3sin sin 50r M γ= == 2 2 1.5(1) 2.5(1)s r r c t M M πω??= +-+-??且要求s t 小于四秒。求得 2.1c ω≥,Mr Mr c 12-≤ωω知50.02≤ω。所以根据设计要求50.02≤ω在Bode 图上进行设计, 取2.02=ω(为了计算方便)求得串联超前校正环节传递函数110 12.0)(++=s s s Gc 并且作图如下:

补偿之后的系统传递函数为) 110 )(12)(1()12.0( 2)()()(++++==s s s s s s Go s Gc s G 相位裕度 18090arctan 22.5arctan 4.5arctan 2.25arctan 0.4150.21γ=-+---= 1 1.3sin 50.21 r M = = ,22 1.5(1) 2.5(1) 3.82s r r c t M M s πω??=+-+-=?? 均满足设计条件。 2、计算机辅助设计: (1)校正前伯德图

哈工大机械制造大作业

哈工大机械制造大作业

一、零件分析 题目所给的零件是CA6140车床的拨叉。它位于车床变速机构中,主要起换档,使主轴回转运动按照操作者的要求工作,获得所需的速度和扭矩的作用。零件上方的孔与操纵机构相连,二下方的Φ55叉口则是用于与所控制齿轮所在的轴接触,拨动下方的齿轮变速。 其生产纲领为批量生产,且为中批生产。

图1-1 CA6140拨叉零件图 二、零件的工艺分析 零件材料采用HT200,加工性能一般,在铸造毛坯完成后,需进行机械加工,以下是拨叉需要加工的表面以及加工表面之间的位置要求:

1、小头孔Φ25:该加工面为内圆面,其尺寸精度要求为; 2、叉口半圆孔Φ55:该加工面为内圆面,其尺寸精度要求为; 3、拨叉左端面:该加工面为平面,其表面粗糙度要求为,位置精度要求与内圆面圆心距离为; 4、叉口半圆孔两端面,表面粗糙度要求为,其垂直度与小头孔中心线的垂直度为; 5、拨叉左端槽口,其槽口两侧面内表面为平面,表面粗糙度要求为,其垂直度与小头孔中心线的垂直度为0.08mm。 6、孔圆柱外端铣削平面,加工表面是一个平面,其表面粗糙度要求为。 三、确定毛坯

1、确定毛坯种类: 零件材料为,查阅机械制造手册,有,考虑零件在机床运行过程中受冲击不大,零件结构又比较简单,故选择铸造毛坯。 图3-1 毛坯模型 2、毛坯特点: (1)性能特点: (2)结构特点:一般多设计为均匀壁厚,对于厚大断面件可采用空心结构。CA6140拨叉厚度较均匀,出现疏松和缩孔的概率低。 (3)铸造工艺参数: 铸件尺寸公差:铸件公称尺寸的两个允许极限尺寸之差成为铸件尺寸公差。成批和大量生产

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

哈工大DSP大作业

DSP-F2812的最小系统设计 姓名 学号 班级 时间

一、设计目的: TMS320F2812DSP是TI公司一款用于控制的高性能、多功能、高性价比的32位定点DSP。它整合了DSP和微控制器的最佳特性,集成了事件管理器,A/D转换模块、SCI通信接口、SPI外设接口、eCAN 总线通信模块、看门狗电路、通用数字I/O口、多通道缓冲串口、外部中断接口等多个功能模块,为功能复杂的控制系统设计提供了方便,同时由于其性价比高,越来越多地被应用于数字马达控制、工业自动化、电力转换系统、医疗器械及通信设备中。 通过本课程的学习,我对DSP的各个模块有了较为深入的了解,希望可以通过对最小系统的设计,进一步加深对DSP的学习,能在实践中运用DSP,提高自己的动手实践能力。 二、设计思路 所谓最小系统就是由主控芯片加上一些电容、电阻等外围器件构成,其能够独立运行,实现最基本的功能。为了验证DSP的最基本的功能,我设计了如下单元:有源电路的设计、复位电路及JATG下载口电路的设计、外扩RAM的设计、串口电路的设计、外扩A/D模块电路的设计。 三、详细设计步骤和原理 1、电源电路的设计 TMS320F2812工作时所要求的电压分为两部分:3.3V的Flash电压和1.8V的内核电压。TMS320F2812对电源很敏感,所以在此推荐

选择电压精度较高的电源芯片TPS767D318。TPS767D318芯片输入电压为+5V,芯片起振,正常工作之后,能够产生3.3V和1.8V两种电压电压供DSP使用。如下图所示: 2、复位电路及JATG下载口电路的设计 考虑到TPS767D301芯片自身能够产生复位信号,此复位信号可以直接供DSP芯片使用,所以不用为DSP设置专门的复位芯片。 在实际设计过程中,考虑到JATG下载口的抗干扰性,在与DSP 相连接的接口均需要采用上拉设计。

机械制造装备设计大作业指导书2013

机械制造装备设计大作业指导书 2013年3月

目录 1.机械制造装备设计大作业的目的 (1) 2.机械制造装备设计大作业的内容 (1) 2.1运动设计 (1) 2.2动力设计 (1) 3.机械制造装备设计大作业的要求 (1) (1)机床的规格及用途; (1) (2)运动设计; (1) (3)动力设计(包括零件及组件的初算); (1) (4)画传动系统图; (1) (5)其它需要说明或论证的问题; (1) (6)参考文献。 (1) 4.进行机械制造装备设计大作业的步骤和方法 (1) 4.1明确题目要求、查阅有关资料 (1) 4.2运动设计 (2) (1)确定极限转速 (2) (2)确定公比 (2) (3)求出主轴转速级数z (2) (4)确定结构网或结构式 (2) (5)绘制转速图 (2) (6)绘制传动系统图 (3) (7)确定变速组齿轮传动副的齿数 (3) (8)核算主轴转速误差 (4) 4.3动力设计 (4) 1.传动轴直径初定 (5) 2.主轴轴颈直径的确定 (5) 3.齿轮模数的初步计算 (5) 5.大作业题目 (7) 参考文献 (10)

1.机械制造装备设计大作业的目的 机械制造装备设计大作业,是机械制造装备设计课程进行过程中的一个重要教学环节。其目的在于通过机床主传动系统设计,使学生进一步理解设计理论,得到设计构思、方案分析、零件计算、查阅技术资料等方面的综合训练,树立正确的设计思想,掌握基本的设计方法,培养学生具有初步机械系统设计和计算能力。 2.机械制造装备设计大作业的内容 运动设计 根据给定的机床用途、规格、极限速度、转速数列公比(或转速级数),分析比较拟定传动结构方案(包括结构式和结构网,转速图)和传动系统图,确定传动副的传动比及齿轮的齿数,并计算主轴的实际转速与标准转速的相对误差。 动力设计 根据给定的电动机功率和传动件的计算转速,初步计算传动轴直径、齿轮模数;确定皮带类型及根数、摩擦片式离合器的尺寸和摩擦片数及制动器尺寸、选择机床主轴结构尺寸。 3.机械制造装备设计大作业的要求 (1)机床的规格及用途; (2)运动设计; (3)动力设计(包括零件及组件的初算); (4)画传动系统图; (5)其它需要说明或论证的问题; (6)参考文献。 机械装备设计大作业篇幅不少于四千字。要求论证充分、计算正确、叙述简明、条理清楚、合乎逻辑、词句通顺、标点正确、文字工整、图表清晰。 大作业中所用公式应注明出处,并注明式中符号所代表的意义和单位。单位一律采用法定单位,单位符号在公式、计算结果、图表、数据、标牌中应优先采用单位符号。 大作业后须附有参考文献目录,包括作者、书刊名称、出版社和出版年份。在说明书中引用所列的参考文献时,只在方括号里注明所列文献序号即可。 4.进行机械制造装备设计大作业的步骤和方法 明确题目要求、查阅有关资料 学生在获得大作业的题目之后,首先应明确设计任务,并阅读《械装备设计大作业指导书》,了解大作业的目的、内容、要求和进行的步骤。然后在教师的指导下,拟定工作进度计划;查阅必要的图书、杂志、手册、图册、产品图纸、同类型机床说明书和其它有关设计参考资料;熟悉机床专业标准,便于设计时采用。对机床的用途、特点、主要参数、传动结

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

哈工大机械设计大作业

哈尔滨工业大学 机械设计作业设计计算说明书 题目: 轴系部件设计 系别: 英才学院 班号: 姓名: 刘璐 日期: 2016.11.12

哈尔滨工业大学 机械设计作业任务书 题目: 轴系部件设计 设计原始数据: 图1 表 1 带式运输机中V 带传动的已知数据 方案 d P (KW ) (/min)m n r (/min)w n r 1i 轴承座中 心高H (mm ) 最短工作 年限L 工作环境 5.1.2 4 960 100 2 180 3年3班 室外 有尘 机器工作平稳、单向回转、成批生产

目录 一、带轮及齿轮数据 (1) 二、选择轴的材料 (1) 三、初算轴径d min (1) 四、结构设计 (2) 1. 确定轴承部件机体的结构形式及主要尺寸 (2) 2. 确定轴的轴向固定方式 ................................................................................ 错误!未定义书签。 3. 选择滚动轴承类型,并确定润滑、密封方式 ....................................... 错误!未定义书签。 4. 轴的结构设计 .................................................................................................. 错误!未定义书签。 五、轴的受力分析 (4) 1. 画轴的受力简图 (4) 2. 计算支承反力 (4) 3. 画弯矩图 (5) 4. 画扭矩图 (5) 六、校核轴的强度 (5) 七、校核键连接的强度 (7) 八、校核轴承寿命 (8) 1. 计算轴承的轴向力 (8) 2. 计算当量动载荷 (8) 3. 校核轴承寿命 (8) 九、绘制轴系部件装配图(图纸) (9) 十、参考文献 (9)

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

哈工大数字逻辑大作业

汽车尾灯控制器 信安一班 1150320101-孙晨1150810613-李秋豪1152210121-路祥鹏 (按笔画顺序排列) 注:任何人可以自由的复制、修改、分发本文。但是如果您的版本中含有附录的参考图片:1.在用于非商业、非盈利、非广告性目的时需注明作者及出处“百度百科”。2.在用于商业、盈利、广告性目的时需征得作者同意,并注明作者姓名、授权范围及出处“百度百科”。GMT+8 2016-12-07 20:20

一、目录 设计要求------------------------------------------------------------- 3 工作原理,系统方框图----------------------------------------------- 3 各部分选定方案及说明----------------------------------------------- 5 总体设计图与仿真结果----------------------------------------------- 9 设计心得与总结------------------------------------------------------ 17 参考文献------------------------------------------------------------- 17 附录:总体器件表及其功能表、管脚分布----------------------------- 18

二、设计要求 设计一个汽车尾灯控制器。汽车尾部左右两侧各有3个指示灯。根据汽车运行情况,指示灯有4+2种显示模式: (1)汽车正向行驶,所有指示灯全部熄灭。 (2)汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮。 (3)汽车左转弯,左侧的三个指示灯按左循环模式顺序点亮。 (4)临时刹车,左右两侧的指示灯同时处于闪烁状态。 (5)倒车状态,右侧的三个指示灯按右循环模式顺序点亮,同时左侧的三个指示灯按左循环模式顺序点亮。 (6)故障状态,所有灯全亮且不闪烁。 三、工作原理,系统方框图 大致原理:(详细介绍见第四部分) 该器件有六种状态,所以应该使用三个开关状态L1、L2、L3来表达。 对于正常行驶状态,灯全灭,即对所有的灯给低电平。 对于汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮,可以采取三进制计数器(十进制改造)+三八译码器来实现,其中三进制计数器的输出作为译码器的输入,译码器的输出决定三个右侧灯的亮暗。 对于汽车左转弯,原理同汽车右转弯的实现方法。 对于临时刹车,可以使用CP信号直接决定六个灯的亮暗,达到闪烁的目的。 对于故障状态,对所有的灯置高电平。

哈工大机械制造装备设计大作业

Harbin Institute of Technology 机械制造装备设计 大作业 题目:无丝杠车床主传动系统设计学院:机电工程学院 班级: 姓名: 学号:

?哈尔滨工业大学 哈尔滨工业大学 机械制造装备设计大作业题目:无丝杠车床主传动系统设计

目录 一、运动设计 (3) 1 确定极限转速 (3) 2 确定公比 (3) 3 求出主轴转速级数 (3) 4 确定结构式 (3) 5 绘制转速图 (4) 6 绘制传动系统图 (5) 7 确定变速组齿轮传动副的齿数 (6) 8 校核主轴转速误差 (6) 二、动力设计 (7) 1 传动轴的直径确定 (7) 2 齿轮模数的初步计算 (7) 参考文献 (9)

设计任务 设计题目:无丝杠车床主传动系统设计 已知条件:最大加工直径ф400mm,最低转速40r/min,公比φ=1.41,级数Z=11,切削功率N=5.5KW。 设计任务: 1.运动设计:确定系统的转速系列;分析比较拟定传动结构方案;确定传动副的传动比和齿轮的齿数;画出传动系统图;计算主轴的实际转速与标准转速的相对误差。 2.动力设计:确定各传动件的计算转速;初定传动轴直径、齿轮模数;选择机床主轴结构尺寸。 一、运动设计 1. 确定极限转速 已知最低转速为40r/min,公比φ=1.41,参考文献[1]表4-2标准转速系列的本系统转速系列如下:40 57 80 113 160 226 320 453 640 905 1280 r/min,则转速的调整范围max min 1280 32 40 n n R n ===。 2. 确定公比 根据设计数据,公比φ=1.41。 3. 求出主轴转速级数Z 根据设计数据,转速级数Z=11。 4.确定结构式 (1)确定传动组和传动副数 由于总级数为11,先按12设计再减掉一组。共有以下几种方案: 12=4×3 12=3×4 12=3×2×2 12=2×3×2 12=2×2×3 根据传动副前多后少原则,以减少传动副结构尺寸选择第三组方案,即: 12=3×2×2 (2)确定结构式 按前疏后密原则设计结构式中的级比指数,得到: 12=3 1×2 3 ×2 6 减掉一组转速为:

数电大作业智能数字钟

数电大作业智能数字钟 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

智能数字钟设计? 一、问题重述? 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。 本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。要求: (1)完成设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟; (2)完成对“时”、“分”的自动校时。 二、设计目的? 1.了解智能数字钟的工作原理; 2.设计出一个能实现清零、进位、显示时分秒等功能的智能数字钟; 3.正确使用multisim 软件对电路进行仿真及观察; 4.通过此次设计实验加深对3—8译码器、计数器等集成逻辑芯片的理解和运用。 三、设计要求? 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频); 2.能显示时、分、秒,24小时制;3.设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;

5.用同步十进制集成计数器74LS160设计一个24小时计数器;6.译码显示电路显示时间; 7.用与非门芯片及一些基本芯片设计一个可以自动校时的电路。 四、设计过程? 总体思路 由秒及分的60进制,分别到59时进行对分和时进行进位,而时为24进制,当到达23时,之后进行清零,从而实现数字时钟的相应功能。分秒功能的实现:用两片74LS160组成60秒、分、时分别为60、60和24进制计数器。秒、分均为六十进制,即显示进制递增计数器。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。时功能的实现:用两片74LS160组成24进制递增计数器。结构框图及说明 在产生信号时可采用两种方法,方法(1)采用555定时器及分频器,而方法(2)直接利用函数信号发生器。 电路工作原理? 振荡器是数字钟的核心。振荡器的稳定度及频率的准确度决定了数字钟计时的准确程度,通常选用石英晶体构成的振荡器电路。一般来说,振荡器的频率越高,计时精度越高。如图5所示调节电阻R2可以改变输出信号频率,用以得到所需的信号频率。利用555定时器进行产生信号,形成晶振电路,如下 图二?555定时器 用三片74LS160可以构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。它的功能是产生标准秒脉冲信号。原理如下 图三?分频电路

相关文档
最新文档