电子跑表
电子表使用说明书

电子表使用说明书1 、正常时间模式:正常时间画面显示时、分、秒、星期。
1.1 按START键显示日期。
1.2 按RESET键显示每日闹铃时间。
1.3 按MODE进入跑秒模式。
1.4 按LIGHT键灯亮3秒。
1.5 按RESET+START键打开/关闭每日闹铃,相应的图标显示/消失;按住两键则发出bibi的响声。
1.6 按RESET+MODE键可打开/关闭整点报时(星期全显示为打开,反之则为关闭)。
★ 任何状态下按住RESET+START+MODE三键,画面全显示,松开则返回。
2 、跑秒模式:从正常时间模式按MODE键一次进入跑秒模式。
2.1 按START键开始/停止跑秒。
2.2 跑秒停止时,按RESET键跑秒数值归0。
2.3 跑秒运行时,按RESET键,提取一个分段时间,跑秒画面停止(但跑秒并没有中止依然在背后运行)之后:若按RESET键,画面显示总的跑秒值;若按START键,在背后运行的跑秒停止,但画面依然停止,再按RESET键显示跑秒停止时的值。
3 、每日闹铃设定:从正常时间模式按MODE键两次进入每日闹铃设定状态,时位闪动。
3.1 按RESET键转换设定对象:时分3.2 按START键调整相应的数值,按住键可进行快速调整。
3.3 每日闹铃设定完成,按MODE键保存并退出设定,转到正常时间模式。
★ 每日闹铃打开,当到达闹铃时间,会发出1分钟的bibi声;闹铃期间,若按START键,5分钟后会再次闹铃。
4 、正常时间设定:从正常时间模式按MODE键三次进入正常时间设定状态,秒位闪动。
4.1 按RESET键转换设定对象:秒分时日月星期4.2 按START键调整相应的数值,按住键可进行快速调整(秒位除外);秒位调整时按START键秒值归0,若秒值大于或等于30,则分值同时增加1。
4.3 时位设定时,按START键可选择12/24小时显示格式。
4.4 正常时间设定完成,按MODE键保存并退出设定状态,转到正常时间模式。
电子跑表设计毕业设计

电子跑表ABSTRACT:Because of its extremely high performance-price ratio, the single-chip computer (SCC) has been paid great attention to ever since it came out in 1970s of 20th Century, and has gained an extensive applicable field and fast development. Among all kinds of SCCs, 51 SCC is the most typical and representative one. This design, adopting 80C51 chip as the core part with some necessary peripheral circuits, is a simple electronic clock which uses 5V DC as the power supply. In hardware aspect, besides the CPU, four seven-segment LED digi-tubes are used for display, which work in a dynamically scanning display mode and driven by 74SL245 chip. The LEDs can accurately indicate hour and minute and two buttons can be used to adjust the time. While in the software aspect, the programming language is assembly language. The whole electronic clock system has functions of time display, adjustment, stopwatch and reset, etc. This design enables me to have greater insight into the basic circuit of SCC, and the basic methods of timer control and interruption programming, so that is a training of my ability of learning, designing and developing software and hardward.KEY WORDS:80C51 LED digi-tubes Electronic clock Stopwatch摘要:单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。
数字跑表要求

1.数字跑表
要求:利用8031的计数器实现数字跑表功能,利用6个LED数码管显示计时的时间,
最大计时时间为59’59”99,计时分辨率0.01秒。
控制功能:利用开关K1控制电子钟的工作方式(K1=1为正常工作方式,K1=0为清零复位方式),通过利用单脉冲发生器发出脉冲的来启动或停止计时。
使用的主要元器件:8031、6MHz的晶振、74LS373、74LS138、2764、75452、8155、
74LS240、LED数码管LC5011-11、拨动开关K1、单脉冲发生器等。
结果验证:运行程序,将拨动开关K1拨向下方(K1=0),看6个LED数码管是否显示全为0;将拨动开关K1拨向上方(K1=1),按动单脉冲发生的微动开关发出启动计时信号,看数码管上是否显示递加的计时时间;再按动单脉冲发生的微动开关发出停止计时信号,看数码管上显示的计时时间是否停止。
通过与普通跑表的比较,验证计时是否准确。
ZG806电子表说明书

ZG806电子表说明书左上角按键:LIGHT右上角按键:ST/SP左下角按键:MODE右下角按键:RESET按三次MODE进入时间\日期\星期调整 3.1、按ST/SP调整秒 3.2、按一次RESET,按ST/SP调整分 3.3、按二次RESET,按ST/SP调整小时(可以选择上下午的或24小时的)4、按三次RESET,按ST/SP调整日 3.5、按四次RESET,按ST/SP调整月 3.6、按五次RESET,按ST/SP调整ZG806手表使用时按左下键两秒当钟头数码扑闪时,按右下键,调试闹表(时),再按右上键,分钟扑闪,按右下键,调试闹表(分),完成后,长按左下键秒数码扑闪时,按右上键成为分数码扑闪,再按右下键,调试(分钟),完结后按右上键,让小时数码扑闪,按右下键,调试(钟头),完成后,按右上键,调日子、星期,办法同上。
有的手腕上的表右上下键的功能相反。
电子表如何调时间有四个按扭:左上写的是LIGHT灯;右上写的是START;右下写的是RESET;左下写的是MODE电子表如何调时间从起初界面着手,显露的是时间,LIGHT是灯光;在正常时间显示状态,按住START键,显示日期,按住RESET键,显示闹铃时间*跑秒表使用方法:在正常时间显示下,按MODE键一次进入跑秒表工作模式,按START键跑表工作,再按START键跑表停止,显示计时数字,按RESET键数字清零,按MODE键返回正常时间显示。
*闹铃时间设定:在正常时间显示下,按MODE键2次,再按STSRT键校准小时,按RESET键1次,再按STSRT键校准分钟,按MODE键返回*时间设定:在正常时间显示下,按MODE键三次,进入时间设定状态,秒闪动,按STSRT键,校对秒,按RESET键,分钟闪动,按STSRT键校对分钟,再分别按RESET,STSRT键,可分别校对小时/日期/月份/星期,按MODE 键返回*12/24小时制显示:在正常时间显示下,按MODE键3次,进入时间调整状态,按RESET键2次进入小时调整状态,再按STSRT键直至12/24小时制出现,再按MODE键1次返回正常时间显示*闹铃,整点提示开关设定:按RESET键不放,再按动STSRT键,可选择闹铃开启/关闭;若闹铃开启时,应有闹铃符号显示。
电子实验数字跑表

电子科技大学基于FPGA的数字系统设计——数字跑表的设计学生姓名:学号:指导教师:摘要本文利用XILINX-ISE开发软件和XILINX EDA教学实验开发板实现数字跑表的开始、暂停以及复位等功能。
ISE工程利用VHDL语言编写,单模块包含时钟分频进程、始能及清零进程、时钟数据产生进程、位码产生进程和显示进程;分模块设计包含时钟分频模块、控制模块、计数器模块、显示模块。
计时区间00’00’’00到59’59’’99。
课余设计的单模块源程序:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity paobiao isPort ( clkin : in STD_LOGIC;enable : in STD_LOGIC;clear : in STD_LOGIC;seg : out STD_LOGIC_VECTOR (7 downto 0);wei : out STD_LOGIC_VECTOR (2 downto 0);enout : out STD_LOGIC);end paobiao;architecture Behavioral of paobiao issignal cnt100: integer range 1 to 240000;signal cnt1k: integer range 1 to 24000;signal clk100: std_logic:='0';signal clk1k : std_logic:='0';signal en: std_logic:='0';signal d1: integer range 0 to 5:=0;signal d2: integer range 0 to 9:=0;signal d3: integer range 0 to 5:=0;signal d4: integer range 0 to 9:=0;signal d5: integer range 0 to 9:=0;signal d6: integer range 0 to 9:=0;signal num: integer range 1 to 6:=1;beginenout<='0';process(clkin)beginif clkin'event and clkin='1' thenif cnt100=240000 thencnt100<=1;clk100<=not clk100;elsecnt100<=cnt100+1;end if;if cnt1k=24000 thencnt1k<=1;clk1k<=not clk1k;elsecnt1k<=cnt1k+1;end if;end if;end process;process(enable,clear)beginif clear='0' thenen<='0';elseif enable'event and enable='1' thenen<=not en;end if;end if;end process;process(clear,d1,d2,d3,d4,d5,d6)beginif clear='0' thend1<=0;d2<=0;d3<=0;d4<=0;d5<=0;d6<=0;-- en<=0;elseif en='1' and clk100'event and clk100='1' then if d6=9 thend6<=0;if d5=9 thend5<=0;if d4=9 thend4<=0;if d3=5 thend3<=0;if d2=9 thend2<=0;if d1=5 thend1<=0;elsed1<=d1+1;end if;elsed2<=d2+1;end if;elsed3<=d3+1;end if;elsed4<=d4+1;end if;elsed5<=d5+1;end if;elsed6<=d6+1;end if;end if;end if;end process;process(num)beginif clk1k'event and clk1k='1' thenif num=6 thennum<=1;elsenum<=num+1;end if;end if;end process;process(num)variable data: integer range 0 to 9;begincase num iswhen 1 => wei<="111";data:=d1;when 2 => wei<="110";data:=d2;when 3 => wei<="100";data:=d3;when 4 => wei<="011";data:=d4;when 5 => wei<="001";data:=d5;when 6 => wei<="000";data:=d6;end case;case data iswhen 0 => seg<="00000011";when 1 => seg<="10011111";when 2 => seg<="00100101";when 3 => seg<="00001101";when 4 => seg<="10011001";when 5 => seg<="01001001";when 6 => seg<="01000001";when 7 => seg<="00011111";when 8 => seg<="00000001";when 9 => seg<="00001001";end case;end process;end Behavioral;管脚分配NET "clkin" LOC = T8;NET "enout" LOC = D7;NET "seg<0>" LOC = C11;NET "seg<1>" LOC = A11;NET "seg<2>" LOC = B12;NET "seg<3>" LOC = A12;NET "seg<4>" LOC = C12;NET "seg<5>" LOC = C13;NET "seg<6>" LOC = A13;NET "seg<7>" LOC = B14;NET "wei<0>" LOC = F8;NET "wei<1>" LOC = D8;NET "wei<2>" LOC = E7;NET "clear" LOC = E4;NET "enable" LOC = G6;课时设计一、系统总体设计指标:1、跑表精度为0.01秒2、跑表计时范围为:1小时3、设置开始计时/停止计时、复位两个按钮4、显示工作方式:用六位BCD七段数码管显示读数。
eda数字跑表课程设计

eda数字跑表课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握数字跑表的工作原理;2. 学生能掌握数字跑表设计中所涉及的电子元件、电路图及编程知识;3. 学生能了解数字跑表在实际应用中的功能与作用。
技能目标:1. 学生能运用所学知识,设计并搭建简单的数字跑表电路;2. 学生能通过编程实现对数字跑表的调试与优化;3. 学生能运用团队协作、问题解决和创新能力,完成数字跑表的设计与制作。
情感态度价值观目标:1. 学生培养对电子科技的兴趣和热情,增强实践操作的信心;2. 学生培养团队协作精神,提高沟通与表达能力;3. 学生认识到科技对社会发展的作用,树立创新意识,增强社会责任感。
课程性质:本课程为实践性、综合性课程,结合理论知识与实际操作,培养学生的动手能力、创新能力和团队合作能力。
学生特点:六年级学生具有一定的电子知识基础和编程能力,对新鲜事物充满好奇心,善于合作与探究。
教学要求:教师需引导学生掌握EDA数字跑表的基本知识,注重实践操作,鼓励学生创新与思考,提高学生的问题解决能力。
在教学过程中,关注学生的个体差异,给予个性化指导,确保课程目标的达成。
通过本课程的学习,使学生能够将所学知识应用于实际生活中,提高综合素质。
二、教学内容1. 电子设计自动化(EDA)基础理论:- EDA概念及其发展历程;- 数字跑表的基本原理与功能。
2. 数字跑表电路设计:- 常用电子元件的特性与选型;- 电路图绘制及仿真;- 数字跑表电路搭建与调试。
3. 编程与控制:- 编程环境及编程语言介绍;- 数字跑表程序设计;- 程序调试与优化。
4. 实践操作与团队协作:- 分组进行数字跑表设计与制作;- 团队合作、问题解决与创新能力培养;- 实践成果展示与评价。
教材章节关联:本教学内容与教材中“电子设计自动化”、“数字电路设计”和“编程控制”等章节相关。
具体内容包括:- 电子设计自动化:第1章;- 数字电路设计:第3章;- 编程控制:第5章。
电子表的操作介绍说明书

电子表的操作介绍说明书传统的纸质讲明书,越来越多的客户不情愿去看,然而使用的时候往往遇到咨询题需要解决,为了减少客服的工作量,因此我们做了这份电子版讲明书,假如您遇到使用咨询题不妨看看。
篇一:电子表使用讲明书1 、正常时刻模式:正常时刻画面显示时、分、秒、星期。
1.1 按START键显示日期。
1.2 按RESET键显示每日闹铃时刻。
1.3 按MODE进入跑秒模式。
1.4 按LIGHT键灯亮3秒。
1.5 按RESET+START键打开/关闭每日闹铃,相应的图标显示/消逝;按住两键则发出bibi 的响声。
1.6 按RESET+MODE键可打开/关闭整点报时(星期全显示为打开,反之则为关闭)。
任何状态下按住RESET+START+MODE三键,画面全显示,松开则返回。
2 、跑秒模式:从正常时刻模式按MODE键一次进入跑秒模式。
2.1 按START键开始/停止跑秒。
2.2 跑秒停止时,按RESET键跑秒数值归0。
2.3 跑秒运行时,按RESET键,提取一个分段时刻,跑秒画面停止(但跑秒并没有中止依然在背后运行)之后:若按RESET键,画面显示总的跑秒值;若按START键,在背后运行的跑秒停止,但画面依然停止,再按RESET键显示跑秒停止时的值。
3 、每日闹铃设定:从正常时刻模式按MODE键两次进入每日闹铃设定状态,时位闪动。
3.1 按RESET键转换设定对象:时分3.2 按START键调整相应的数值,按住键可进行快速调整。
3.3 每日闹铃设定完成,按MODE键保存并退出设定,转到正常时刻模式。
每日闹铃打开,当到达闹铃时刻,会发出1分钟的bibi声;闹铃期间,若按START键,5分钟后会再次闹铃。
4 、正常时刻设定:从正常时刻模式按MODE键三次进入正常时刻设定状态,秒位闪动。
4.1 按RESET键转换设定对象:秒分时日月星期4.2 按START键调整相应的数值,按住键可进行快速调整(秒位除外);秒位调整时按START键秒值归0,若秒值大于或等于30,则分值同时增加1。
数字跑表模块设计

显示内容
可显示当前时间、已用时间、剩余时间、距离、 速度等比赛和训练数据。
显示亮度与对比度
具备可调节的显示亮度与对比度,以适应不同光线环境。
控制模块设计
控制方式
采用微控制器进行系统控制,实现各种功能的逻辑处理。
数据处理
对采集的数据进行实时处理,确保计时和显示的准确性。
人机交互
通过按键或触摸屏实现人机交互,方便用户进行功能设置和操作。
数字跑表的重要性
提高训练效果
通过精确记录运动数据,数字跑 表可以帮助运动员了解自己的训 练状态,制定合理的训练计划, 提高训练效果。
比赛成绩记录
在比赛中,数字跑表可以精确记 录运动员的成绩,保证比赛的公 平性和准确性。
健康监测
数字跑表还可以监测运动者的心 率、步数等健康数据,帮助运动 者了解自己的身体状况,预防运 动损伤。
低功耗设计技术
节能模式
支持低功耗模式,在非 使用状态下自动进入节 能状态。
硬件优化
采用低功耗硬件设计和 电路优化,降低功耗。
电源管理
采用智能电源管理系统, 根据需求合理分配电源。
可靠性设计技术
故障检测与恢复
具备故障检测和恢复机制,确保在异常情况 下仍能保持正常运行。
防抖动设计
防止因按键或触摸产生的抖动对计时精度的 影响。
采用先进的计时算法,如分段计时法,以提高计 时精度。
校准与同步
定期校准和与标准时钟同步,以保持计时准确性。
人机交互技术
1 2
显示界面
提供清晰、易读的显示界面,包括计时、计圈、 计分等功能。
输入方式
支持多种输入方式,如触摸屏、按键等,方便用 户操作。
3
语音提示
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
控制电路包含一个单稳态触发器,和一个T触发器,电路图如下
图3-2
码显示管组成。
图3-3
数。
管脚图:
表3-4
7447驱动一个共阳极的七段数码显示管,一个显示单元如下图:
图3-5
由秒向分转化时,要用到一个60进制的计数器,因为要从0开始显示,所以
只能使用置零法,下图电路是两个74160组成的60进制计数器:
图3-6
总的计数、译码、显示电路为:
按图3-2连接电路,按下Multisim仿真按钮,双击示波器,观察波形1.3 总电路
2 PCB绘制
考虑到footprint是否匹配的问题,在Altium Designer中重新绘制一次原理图,考虑到连线过多,这里使用虚拟连线(Net Label)。
原理图见附图一。
绘制好原理图后,自动生成元件编号,编译Net For PCB找到错误并修改,然后生成PCB图,考虑到元件比较多,这里使用了自动布线功能。
原理图见附图二。
第五章设计总结
1 遇到的问题及解决
1.1 仿真
刚开始,由于元件选取的问题,数码管一直不亮,后来通过尝试发现74LS160和74LS47的选取不是任意的,使用74LS160N和74LS47N在Multisim里并且使用DGND接地而不是GND接地,数码管才能正常显示。
在multisim里,后缀D\N用以表示封装类型,应该对功能无影响,但实际却有较大差别,可能是我使用的试用版有限制或软件设计的缺陷。
在其他仿真软件如TINA-TI(v9.0)里,是没有这种区分的。
关于DGND和GND:仿真时用探针探到,GND接地是25kHz的交流电流,DGND则是直流。
同样的,在其他软件里,一般不区分直流接地和交流接地。
1.2 PCB绘制
绘制PCB,主要有三个步奏:1.绘制原理图,2.编译并找出错误,3.导出PCB并布线。
这里最关键的步奏我觉得是第二部,应该充分利用软件给出的错误信息找到问题并解决,在Altium Designer 6.9中,在原理图窗口使用'Design ->Netlist for project ->EDIT for PCB'可以编译并检查错误,错误的地方会在原理图中以波浪线表示,同时生成.EDIT文件,保存详细信息。
当错误被修改以后就可以'UPDATE PCB‘了。
2.设计可以有的的改进
2.1.脉冲源
如果要求更高的精度,可以选用晶振替代555时钟源。
2.2开关的功能
开始开关在开始前清零,如果清零脉冲时间太短,触发器来不及反应,则会导致清零不正确,如果清零脉冲太长,又会影响计时的精确度。
下图是市面上很常见的秒表
图5-1
左右两个开关分别控制开始/暂停,清零。
这种设计可以提高精度。
2.3电源驱动
电子秒表一般是由两个钮扣电池供电的,并不能达到5V的电压,所以上面的设计没有实用价值,只能用于理论验证。
74HC系列的器件可以接受2-6V的电压,所以,可以用74HC的器件替换74LS的器件,以达到实用的目的。
2.4 数码显示
数码显示管有的内置了译码功能,使用这种器件,可以减少六片74LS47,将会大大简化电路。
3.实验不足
3.1 因为电脑的问题,当数码管到达6片时,仿真频率只有理论频率的不到1/1000,而且仿真总电路时,电脑运行非常慢,接近死机,导致没能看到高位数码管的显示效果。
3.2 脉冲电路和译码显示电路都在物理器件上实际接了一次,控制电路没有实际验证。
4 实验感想
通过这次实验,我发现电路的设计是一个非常好玩的事情,看着一个个元件组合在一起实现一些物理、逻辑功能,就觉得特好玩儿。
然后,我觉得,条件允许的情况下,尽量用面包板验证,电脑仿真都是理论值,而且仿真非常耗系统资源,稍微复杂的电路它就没办法了。
仿真的商业软件都很贵,免费的SPICE要人工写网表也很麻烦,从gschem导出的.sch网表可以生成.net网表,但是目前这一功能还不完全,仍需修改.net网表SPICE才能识别。
最后,在这个过程中,我熟悉了编码、译码、稳态电路、触发器等知识,这是最大的收获。
对EDA软件,NI Multisim,Altium Designer和gEDA也有了个初步的认识。
Multisim和Altium Designer试用期不长,不过gEDA是免费的,真是谢天谢地,以后可以没事的时候很方便的设计一些电路,积累硬件设计方面的经验。
5 改进电路
鉴于前面提到的问题,这里给出了部分解决方案
用4511驱动的数码显示电路。