数字电路实验指导书

合集下载

数字电路实验指导书

数字电路实验指导书

实验一集成逻辑门电路逻辑功能的测试一、实验目的1.熟悉数字逻辑实验箱的结构、基本功能和使用方法。

2.掌握常用非门、与非门、或非门、与或非门、异或门的逻辑功能及其测试方法。

二、实验器材1.数字逻辑实验箱DSB-3 1台2. 万用表 1只3.元器件: 74LS00(T065) 74LS04 74LS55 74LS86 各一块导线若干三、实验说明1.数字逻辑实验箱提供5 V + 0.2 V的直流电源供用户使用。

2.连接导线时,为了便于区别,最好用不同颜色导线区分电源和地线,一般用红色导线接电源,用黑色导线接地。

3.实验箱操作板部分K0~K7提供8位逻辑电平开关,由8个钮子开关组成,开关往上拨时,对应的输出插孔输出高电平“1”,开关往下拨时,输出低电平“0”。

4.实验箱操作板部分L0~L7提供8位逻辑电平LED显示器,可用于测试门电路逻辑电平的高低,LED亮表示“1”,灭表示“0”。

四、实验内容和步骤1.测试74LS04六非门的逻辑功能将74LS04正确接入面包板,注意识别1脚位置,按表1-1要求输入高、低电平信号,测出相应的输出逻辑电平。

表1-1 74LS04逻辑功能测试表2.测试74LS00四2输入端与非门逻辑功能将74LS00正确接入面包板,注意识别1脚位置,按表1-2要求输入高、低电平信号,测出相应的输出逻辑电平。

3.测试74LS55 二路四输入与或非门逻辑功能将74LS55正确接入面包板,注意识别1脚位置,按表1-3要求输入信号,测出相应的输出逻辑电平,填入表中。

(表中仅列出供抽验逻辑功能用的部分数据)4.测试74LS86四异或门逻辑功能将74LS86正确接入面包板,注意识别1脚位置,按表1-4要求输入信号,测出相应的输出逻辑电平。

五、实验报告要求1.整理实验结果,填入相应表格中,并写出逻辑表达式。

2.小结实验心得体会。

3.回答思考题若测试74LS55的全部数据,所列测试表应有多少种输入取值组合?实验二集成逻辑门电路的参数测试一、实验目的1.掌握TTL和CMOS与非门主要参数的意义及测试方法。

数字电路实验指导书_实验三

数字电路实验指导书_实验三

《数字电路》实验指导书实验报告参考规范实验题目:班级________姓名________学号_________日期_______ 指导教师:_____________一、实验目的二、实验内容三、实验步骤四、实验结果分析五、实验小结实验三时序电路实验1. 实验目的和要求熟悉、掌握时序逻辑电路的设计方法。

2.实验设计要求设计M=24的加计数器和减计数器。

●加计数:00-01--23●减计数:23-22--00●画出设计电路原理图。

●安装并调试电路的逻辑功能。

3.实验提示(1)设计步骤a 确定输入输出变量数和状态数b 确定逻辑状态的含义并编号c 按题意列出状态转换图。

d状态简化将等价状态合并得到最简状态图e选择器件选择出器件类型和控制信号f 画出逻辑电路g 测试电路功能(2)状态流程a 加计数状态流程00 -01 -02-03-04-05-06-07-08-09-10-11-12-13-14-15-16-17-18-19-20-21-22-23-00b 减计数状态流程23-22-21-20-19-18-17-16-15-14-13-12-11-10-09 -08-07-06-05-04-03-02-01-00-23(3)器件选择与电路设计a 选择两片74LS192分为高位和地位。

b 高位计数0 -2 三个状态c 低位有0-9d 采用置数法实现,选择192的PL控制端e 加计数到“23”经7400译码产生置数信号PL,置数“00”f 减计数到“00”由借位信号经7432译码产生置数信号PL,置数“23”74LS192 状态转换图(4)0-24 加计数电路(5)0-24 减计数电路4. 实验要求:●实验目的●写出器件的主要性能和电路设计中使用的特性●画出设计电路●列出实验结果并与设计要求比较●实验总结●实验总结,提交一份实验报告(手写)。

数字电路 实验指导书

数字电路 实验指导书

TPE-D型系列数字电路实验箱数字逻辑电路实验指导书实验一门电路逻辑功能及测试实验二组合逻辑电路(半加器、全加器及逻辑运算)实验三时序电路测试及研究实验四集成计数器及寄存器实验一门电路逻辑功能及测试一、实验目的1、熟悉门电路逻辑功能。

2、熟悉数字电路实验箱及示波器使用方法。

二、实验仪器及器件1、双踪示波器;2、实验用元器件74LS00 二输入端四与非门 2 片74LS20 四输入端双与非门 1 片74LS86 二输入端四异或门 1 片74LS04 六反相器 1 片三、预习要求1、复习门电路工作原理及相应逻辑表达式。

2、熟悉所用集成电路的引线位置及各引线用途。

3、了解双踪示波器使用方法。

四、实验内容实验前检查实验箱电源是否正常。

然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc 及地线不能接错(Vcc=+5v,地线实验箱上备有)。

线接好后经实验指导教师检查无误可通电实验。

实验中改动接线须先断开电源,接好后在通电实验。

1、测试门电路逻辑功能⑴选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。

⑵将电平开关按表1.1 置位,分别测出输出逻辑状态值及电压值填表。

表1.12、异或门逻辑功能测试⑴选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A 、B 、Y 接电平显示发光二极管。

⑵ 将电平开关按表1.2 的状态转换,将结果填入表中。

表 1.23、逻辑电路的逻辑关系⑴ 用 74LS00 双输入四与非门电路,按图1.3、图1.4 接线,将输入输出逻辑关系 分别填入表1.2,表1.3 中。

1.3⑵ 写出两个电路的逻辑表达式。

4、逻辑门传输延迟时间的测量用六反相器(非门)按图1.5 接线,输入80KHz 连续脉冲(实验箱脉冲源), 用双踪示波器测输入、输出相位差。

数字电路实验指导书

数字电路实验指导书
2
数字电路实验指导书
Hale Waihona Puke “ 0 ”; 当 R≥ 4 . 7K Ω 时 , 输 入 端 相 当 于 逻 辑 “ 1”。 对 于 不同系列的器件,要求的阻值不同。 3.输 出 端 不 允 许 直 接 接 电 源 或 接 地 ,有 时 为 了 使 后 级 电 路 获 得 较 高 的 输 出 电 平 ,允 许 输 出 端 通 过 电 阻 R 接 至 Vcc,一 般 取 R= 3~5.1 K Ω ; 不 允 许 直 接 并 联 使 用 ( 集 电 极 开 路 门和三态门除外) 。 4. 应 考 虑 电 路 的 负 载 能 力 ( 即 扇 出 系 数 ) ,要留有余地,以 免 影 响 电 路 的 正 常 工 作 。扇 出 系 数 可 通 过 查 阅 器 件 手 册 或 计算获得。 5.在 高 频 工 作 时 ,应 通 过 缩 短 引 线 、屏 蔽 干 扰 源 等 措 施 , 抑 制电流的尖峰干扰。 CMOS 数 字 集 成 电 路 的 特 点 1.静 态 功 耗 低 :电 源 电 压 V DD =5V 的 中 规 模 电 路 的 静 态 功 耗 小 于 100 μ W, 从 而 有 利 于 提 高 集 成 度 和 封 装 密 度 , 降 低 成本,减小电源功耗。 2.电 源 电 压 范 围 宽 :4000 系 列 CMOS 电 路 的 电 源 电 压 范 围 为 3~ 18V ,从 而 使 选 择 电 源 的 余 地 大 ,电 源 设 计 要 求 低 。 3 . 输 入 阻 抗 高 : 正 常 工 作 的 CMOS 集 成 电 路 , 其 输 入 端 保 护 二 极 管 处 于 反 偏 状 态 , 直 流 输 入 阻 抗 可 大 于 100M Ω , 在工作频率较高时,应考虑输入电容的影响。 4. 扇 出 能 力 强 :在 低 频 工 作 时 ,一 个 输 出 端 可 驱 动 50 个 以 上 的 CMOS 器 件 的 输 入 端 , 这 主 要 因 为 CMOS 器 件 的 输 入电阻高的缘故。 5.抗 干 扰 能 力 强 :CMOS 集 成 电 路 的 电 压 噪 声 容 限 可 达 电 源 电 压 的 45% , 而 且 高 电 平 和 低 电 平 的 噪 声 容 限 值 基 本 相 等。 6.逻 辑 摆 幅 大 : 空 载 时 ,输 出 高 电 平 V O H >( V D D -0.05V ) , 输 出 低 电 平 V O L < ( V S S +0.05V ) 。 CMOS 集 成 电 路 还 有 较 好 的 温 度 稳 定 性 和 较 强 的 抗 辐 射 能 力 。不 足 之 处 是 ,一 般 CMOS 器 件 的 工 作 速 度 比 TTL 集 成

数字电路实验指导书

数字电路实验指导书

实验一门电路逻辑功能测试及组成其他门电路一、实验目的1.掌握基本门电路逻辑功能测试方法。

2.掌握用与非门组成其他逻辑门的方法。

3.掌握Multisim元器件库中查找常用元件的方法。

二、实验设备及元器件1. PC人计算机及仿真软件Multisim 10。

2. 虚拟元件:与非门7400N、74LS04N、异或门7486N、三态门74LS125N。

3. 虚拟仪器:万用表XMM1、信号发生器XFG1、测量元件中的指示灯X1等。

三、实验内容1.测试与非门的逻辑功能(1)单击电子仿真软件Multisim 10基本界面左侧左列真实元件工具条的“TTL”按钮,从弹出的对话框中选取一个与非门7400N,将它放置在工作平台上;单击真实元件工具条的“电源”(Source)按钮,将电源和底线调出放置在电子平台上;单击真实元件工具条的“基本”(Basic)按钮,调出单刀双掷开关“SPDT”两只并将它们的key设置成“A”和“B”;单击真实元件工具条的“指示器”按钮其中调出红色指示灯一盏并把它放置在工作区中作为输出指示。

搭建后的电路如图3.1.1所示。

输出表达式Y=A·B。

图3.1.1(2)点击电子仿真软件Multisim 10基本界面右侧虚拟仪器工具条“万用表”按钮,调出虚拟万用表“XMM1”放置在电子平台上,将“XMM1”仪器连成仿真电路。

(3)双击虚拟万用表图标“XMM1”,将出现它的放大面板,按下放大面板上的“电压”和“直流”两个按钮,将它用来测量直流电压如图3.1.2所示。

(4)打开仿真开关,按照表 3.1.1,分别按动“A”和“B”键,使与非门的两个输入端为表中4种情况,从万用表的放大面板上读出各种情况的直流电位,将它们填入表内,并将电位转换成逻辑状态填入表3.1.1内。

图3.1.2(5)同样的步骤完成门电路7404N、7402N、7408N的功能测试,实验步骤自拟。

2. 用与非门组成其他功能门电路(1)用与非门组成或门:①根据摩根定律,或门的逻辑函数表达式Q=A+B可以写成:Q=A.B,因此,可以用三个与非门构成或门。

数字电路试验指导书

数字电路试验指导书

数字电路试验指导书第一篇数字电路实验指导书实验一集成逻辑门功能测试及数字盒的使用I.实验目的1、了解数字实验箱的原理,掌握其使用方法2、掌握基本门电路逻辑功能的测试方法3、了解ttl和cmos器件的使用特点二、实验一起及实验器件1、数字实验箱2.20MHz双道示波器3。

500万用表4。

实验装置:74ls001片cd40011片74ls861片cd40111片三、实验任务(一)数字实验箱的使用1、用500型万用表分别测出固定直流稳压源的出去电压值2.用500万用表分别测量16个高、低电平信号源和单脉冲信号源的高、低电平值,观察察单次脉冲前后沿的变化3.用示波器测量连续脉冲源的频率范围和振幅Vp-p值4、分别用十六路高低电平信号源:单次脉冲信号源检查十六路高低电平指示灯的好坏(二)集成逻辑门的功能测试1.分别写出74ls00、74LS86、CD4011和CD4011的逻辑表达式,列出它们的真表值,并对其逻辑功能进行静态测试2.使用74ls00完成以下逻辑功能,编写逻辑表达式,绘制逻辑图并测试其功能。

4、预览需求1、复习数字试验箱的组成和工作原理2.分别检查TTL和CMOS电路的命名和使用规则。

3.仔细参考实验装置的功能表和引脚图4、列出实验任务的记录数据表格,写出实验的方法、步骤,画出实验电路实验二集成逻辑门的参数测试I.实验目的1、熟悉集成逻辑门主要参数的意义2、掌握集成逻辑门主要参数的测试方法3、了解ttl器件和cmos器件的使用特点二、实验仪器与器件1、数字实验箱2.20MHz双道示波器3。

500万用表4。

实验装置:74ls201片cd40121片三、实验任务1.TTL与非门主要参数测试①测试74ls20的空载功耗(pccl、pcch),低电平输入电流iil,高电平输入电流iih。

②用图形法测试74ls20的电压传输特性,读出相应的uoh,uol,uon,uoff③ 根据参数定义,分别测量uoh、UOL、uon和UOF。

数字电路系统实验任务指导书

数字电路系统实验任务指导书

“数字电路”系统实验任务指导书一、性质、目的“数字电路”系统实验是在学习“数字电路”课程以后,对该课程进行综合训练的一次实践过程,它是今后学习计算机硬件知识的主要基础。

学生运用理论教学的知识,通过选题,查阅资料、电路设计,安装调试和总结整理资料等环节。

既可以加深对基础知识的理解,提高分析问题和解决问题的能力,又能培养起实践技能和科技学风,为毕业设计和今后从事电子电路设计、研制电子产品打下良好的基础。

二、基本要求:通过对一个系统设计实验的全过程,使学生达到以下要求:1、巩固和加深数电课程理论知识的理解,运用课程中所学的电路分析和设计方法解决课程中的实际问题。

2、熟悉常用电子仪器,设备的使用方法。

3、熟悉常用电子元器件的种类、特性并合理选用。

4、根据课程需要,培养学生初具选学参考书籍和查阅资料手册的自学能力。

5、熟悉用常用EDA工具(EWB软件)设计、分析电路的方法。

6、具备搭建、调试简单数字电路的基本能力。

7、通过课题设计、制作的全过程,使学生树立严肃认真的工作作风和实事求是的科学态度。

三、设计课题及要求:1.用中小规模集成电路设计一个60进制计数器、24进制计数器。

2.用中小规模集成电路设计一个有“时”、“分”、“秒”(23小时59分59秒)显示功能的电子钟。

3.出框图和逻辑电路图、写出设计、实验总结报告。

四、实验内容及步骤1、数字电子钟基本原理数字电子钟的逻辑框如图所示:它由石英晶体振荡器、分频器、计数器、译码器、显示器和校时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。

A、石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率调整。

如果精度要求不高,可采用集成电路555定时器与RC组成的多谐振荡器。

B、分频电路的功能主要有两个:一是产生标准秒脉冲信号,二是可提供功能扩展电路所需要的信号。

C、显示“时”、“分”、“秒”需要6片中规模计数器。

DE2-70数字电路实验指导书20111102

DE2-70数字电路实验指导书20111102

数字逻辑电路实验指导书南京师范大学计算机系2009.10数字逻辑电路实验Digital Logic Circuits Experiments一、实验目的要求:数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。

二、实验主要内容:教学内容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。

但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。

按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。

掌握运用理论知识及实践经验排除故障的能力。

综合型实验的目的就是培养学生初步掌握利用EDA 软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。

初步具有撰写规范技术文件能力。

设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合的方式,进行复杂的数字电子系统设计。

数字逻辑电路实验实验1 门电路逻辑功能测试实验预习1 仔细阅读实验指导书,了解实验内容和步骤。

2 复习门电路的工作原理及相应逻辑表达式。

3 熟悉所用集成电路的引线位置及各引线用途。

4 熟悉TTL门电路逻辑功能的测试。

5 了解数字逻辑综合实验装置的有关功能和使用方法。

实验目的1 熟悉数字逻辑实验装置的有关功能和使用方法。

2 熟悉双踪示波器的有关功能和使用方法。

3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第一章单元实验实验一逻辑门电路的研究一、实验目的:1.分析“门”的逻辑功能。

2.分析“门”的控制功能。

3.熟悉门电路的逻辑交换及其功能的测试方法。

二、实验使用仪器和器件:1.数字逻辑电路学习机一台。

2.万用表一块。

三、实验内容和步骤:1.TTL集成门逻辑功能的测试:⑴“与非门”逻辑功能的测试:在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。

表1⑵用“与或非”门实现Z=AB+C的逻辑功能:在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2注意:测试前应将与或非门不用的与门组做适当处理。

2.“门”控制功能的测试: ⑴“与非”门控制功能的测试:按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。

输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。

按表3进行测试,总结“封门”“开门”的规律。

图1 “与非门”控制功能测试电路表3⑵用“与非门”组成下列电路,并测试它们的功能“或”门:Z=A+B“与”门:Z=AB“或非”门:Z=A+B“与或”门:Z=AB+CD要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。

四、预习要求:要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。

五、实验报告要求:总结“与非”、“与”、“或”、“或非”门的控制功能。

六、思考题:1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理?2.与或非门不用的与门组如何处理?实验二组合逻辑电路设计一、实验目的:1.学会用集成TTL门构成组合逻辑电路。

2.通过实验手段实现所设计的电路。

二、实验内容:1.设计全加器:用双四选一数据选择器74LS153与门电路结合设计加法器设A为被加数,B为加数,C n-1为上位进位输入,F为A+B的结果,Cn为本位进位输出。

1)功能真值表如下:A B C n-1 F C n0 0 0 0 01 0 0 1 00 1 0 1 01 1 0 0 10 0 1 1 01 0 1 0 10 1 1 0 11 1 1 1 12)给出表达式3)画出逻辑电路图4)根据实验结果,完成加法器波形图:(始终频率由大到小应为被加数,加数,进位输入。

FCn2.设计一个能完成如下功能的发电机组供电控制电路:某工厂有四台用电设备:A、B、C、D其中A设备用电5KWB设备用电10KWC设备用电12KWD设备用电18KW使用过程中不允许A、B两台用电设备同时使用。

现有供电机组三台:x容量10KWy容量15KWz容量20KW为了节约能源,要求按用电状况合理启动供电机组,设计出供电机组控制逻辑(1表示供电和用电,0表示不供电不用电)。

用与非与非式实现。

三、实验前的准备:1.复习组合电路的设计方法。

2.根据任务要求设计逻辑电路,拟定实验步骤,提出器材名单。

3.复习组合电路竞争冒险现象产生的原因及消除方法。

四、实验报告要求:1.写出设计过程,画出电路逻辑图,记录实验验证结果。

2.总结实验中所出现的问题,分析原因及解决方法。

3.分析所设计的电路能否出现竞争冒险现象。

实验三集成触发器一、实验目的:掌握基本RS、JK、D及T'触发器的逻辑功能。

二、实验任务与步骤:1.基本RS触发器逻辑功能的测试:基本RS触发器常与机械按钮开关相配合构成去弹跳按钮开关,用以产生单脉冲做单脉冲源使用。

学习机上使用的单脉冲就是这样产生的。

如图3所示,图4则表明它不能给出清晰的单脉冲。

图3 基本RS触发器图4 简单逻辑开关给出的有弹跳信号按表4完成图3电路的功能测试,SW按钮开关可用一端接地的引线代替,将引线的另一端由S端移向R端一次,相当于手按了一下SW按钮开关。

R、S上的“-”号表明低电平激励,高电平不起作用。

表42.集成JK触发器图5是JK触发器的逻辑符号图,其中:S D为异步置位端,小圆圈表示低电平有效。

R D为异步清除(复位)端。

JK为同步控制输入端。

它们只有在S D,R D为高电平时才起作用,JK的状态将告诉触发器在下一个时钟脉冲作用时该怎样动作。

请注意CP输入端的小圆圈代表CP脉冲下降沿起作用。

三角符号表示该触发器为边沿触发。

如果JK端超过一个,它们之间是J1、J2……相与或K1、K2……相与的关系,这将为实现不同的控制逻辑提供了方便。

图5 JK触发器逻辑符号(1)异步置位、复位功能测试:按照表5完成JK触发器异步置位和异步复位功能的测试。

表5 异步动作表注意:74LS112芯片的PR 端为S d 端,CLR 端为R d 端。

(2)同步JK 功能的测试:请按表6完成同步JK 功能的测试: `表6 同步工作的JK 功能表(同步表)禁止方式 复位方式 置位方式 反复方式注:t n 表示时钟脉冲来到前的时刻;t n+1则是指时钟脉冲向低电平跳变之后的某时刻。

(3)将JK 触发器接成计数器工作状态(T'触发器):CP 端输入方波信号观察输入和输出端(Q 、Q )的波形,并将它们画在同一张方格纸上,注意它们的相位关系与时间关系。

3.集成D 触发器:集成D 触发器逻辑符号如图6所示。

完成下列实验任务:(1)异步置位端S D 和异步复位端R D 功能测试:图6 D 触发器逻辑符号按表7要求改变S D和R D(D及CP处于任意状态),并在S D和R D作用期间任意改变D与CP的状态,测试S D和R D的功能,将测试结果记录于表中。

表7 D触发器强制置位复位功能表(2)D触发器功能的测试:按表8测试D触发器逻辑功能并记录于表中:表8 D触发器逻辑功能表(3)将D触发器的Q端与D端相连,接成计数器状态,CP端输入方波信号,观察输入与输出端(Q、Q的波形,把它们画在同一张方格纸上,注意它们之间的相位关系与时间关系)。

三、实验设备:1.数字逻辑学习机。

2.万用表。

四、实验报告要求:总结基本RS触发器,JK触发器,D触发器的逻辑功能。

了解JK、D、RS触发器后,设计一个RS JK的电路,画出电路图,并验证其功能。

实验四计数器一、实验目的:1.了解时序电路的设计方法和步骤,掌握计数器的工作原理,研究自启动问题。

2.掌握不同类型计数器设计、调试方法,进一步掌握数字示波器测量多路波形方法。

3.双J-K负沿触发器的工作特性二、实验器件:1)双J-K负沿触发器2)二输入四与非门3)六反相器三、实验设计内容用双J-K负沿触发器设计一个可控五进制计数器,要求:1.当控制端 A=1 时,实现下述的状态:Q0Q1Q2 000→100→110→111→011→0002.当控制端 A=0 时,实现下述状态:Q0Q1Q2 000→100→110→010→011→000测试并记录时钟 C K、 Q0、 Q1、 Q2的波形。

3.测试 J-K 触发器的外特性, 并记录波形.四、设计过程1 .画出原始状态图:2.求出激励函数和激励方程:3.画出逻辑电路图4.实验结果记录1)J-K 负沿触发器74LS114 外特性测试:2)J-K 负沿触发器实验波形纪录:J-K 负沿触发器(CP=500KHZ)A=1:J-K 负沿触发器(CP=500KHZ)A=0:五.实验报告要求:1.写出可控五计数器的设计过程。

2.画出用双J-K负沿触发器74LS114设计可控五计数器的逻辑电路图。

3.总结实验中出现的问题,分析原因及解决方法。

第二章高密度可编程器件实验高密度可编程器件是目前国内外通用的硬件电路设计方法,也是学习电路设计的重要内容。

通过学习本章,将为今后硬件设计打下良好的基础。

应注意不同层次的编程方法,应注意体会并灵活运用。

【芯片简介】使用的芯片是 Altera Corporation 生产的 MAX-EPM7128SLC-15。

它包含 128 个宏单元,相当于 2000 个逻辑门。

具有不同的封装形式(实验一般采用 PLCC84 封装)。

芯片在使用和烧录时均使用 5V 直流电源。

在芯片的 84 个管脚中,有8个管脚是用来接电源的,分别是管脚 3、 13、26、 38、 43、 53、 66、 78,它们在芯片管脚标注上被标记为 VCCIO 或VCCINT。

还有 8 个管脚用来接地,分别是管脚 7、19、 32、 42、47、 59、 72、82,标记为 GND。

管脚14、 23、 62、 71 为烧录时与计算机并口连接端口。

管脚 2、 83 为外部时钟输入。

管脚 1 为芯片全局清零。

其余 61 个管脚为芯片的 I/O 接口,用作与外部的输入输出交换数据。

芯片外观及管脚定义见下图实验五码制转换器的设计与实现一、基本知识点1、了解 CPLD 器件的特性2、 VHDL 语言的编程思想及调试方法3、 QUARTUS 软件的使用方法二、实验器件 EPM7128 芯片三、实验内容应用 VHDL 语言设计实现新的数电实验,定义管脚,并且烧录在 EPM7128SLC-15 或 ATF1508 上检验正确性。

四、设计要求设计一个双向转换电路,完成 8421<->格雷码的互换。

同时设计一个四位二进制计数器产生 8421 码进行测试,并且留出输出的测试点。

五、过程分析1、 8421 与 Gray 码的互相转换真值表:表3.1 8421 与Gray 码的互相转换真值表1、表达式:8421->Gray:G3=B3G2=B2 XOR B3G1=B1 XOR B2G0=B0 XOR B1Gray->8421B3=G3B2=G2 XOR B3B1=G1 XOR B2B0=G0 XOR B12、设计思路:时钟的每次触发都完成一次计数的增加,并且把计数的结果作为码制转换的输入直接进行码制转换。

其中 SEL 是码制转换的选择端, 1 表示 8421->Gray; 0 则反之。

这可以用 IF-THEN-ELSE 的结构来完成。

另外计数结果也作为输出以便测试。

六、程序代码--*****************************************************library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--*****************************************************entity Gray8421 isport(switch :in std_logic; --1:8421 ->Gray;0:Gray->8421ck:in std_logic; --时钟输入ind:in std_logic_vector(3 downto 0); --转换输入点q:out std_logic_vector(3 downto 0); --二进制计数输出的测试点dst:out std_logic_vector(3 downto 0) --码制转换的输出测试点);end Gray8421;--***************************************************** architecture a of Gray8421 isbeginprocess(ck)variable inc:unsigned(3 downto 0); --计数器内部计数beginif ck'event and ck='1' theninc:=inc+1; --计数器内部计数end if;q<=std_logic_vector(inc); --把计数器的计数结果输出dst(3)<=ind(3); --以下完成码制转换dst(2)<=ind(2) xor ind(3);if switch='1' thendst(1)<=ind(1) xor ind(2);dst(0)<=ind(0) xor ind(1);elsedst(1)<=ind(1) xor ind(2) xor ind(3);dst(0)<=ind(0) xor ind(1) xor ind(2) xor ind(3);end if;end process;end a;--*****************************************************七、实验方法1、将编译好的程序下载到 EPM7128(或 ATF1508) 中。

相关文档
最新文档