数码管的驱动原理
6脚数码管驱动原理

6脚数码管驱动原理
6脚数码管是一种常见的数字显示器件,它由6个LED灯组成,可以显示0~9的数字以及一些字母和符号。
在实际应用中,我们需要通过驱动电路来控制6脚数码管的显示。
6脚数码管的驱动原理是基于多路复用的思想。
它的6个引脚分别为VCC、GND、A、B、C、D,其中VCC和GND分别为正负电源,A、B、C、D则是控制LED灯亮灭的引脚。
当我们需要显示一个数字时,我们需要将对应的A、B、C、D引脚接通,其他引脚则断开。
例如,要显示数字1,我们需要将A和B引脚接通,C和D引脚断开。
为了实现数字的连续显示,我们需要通过多路复用的方式来控制6脚数码管的显示。
具体来说,我们可以使用一个计数器来不断地改变要显示的数字,然后通过一个多路选择器来选择要显示的数字对应的引脚。
例如,当计数器的值为0时,我们需要将A、B、C、D 引脚接通,其他引脚断开;当计数器的值为1时,我们需要将B、C 引脚接通,其他引脚断开,以此类推。
在实际应用中,我们可以使用数字集成电路来实现6脚数码管的驱动。
例如,常用的CD4511芯片就是一种数字译码器,它可以将二进制数码转换为对应的7段LED显示信号。
我们只需要将CD4511芯片的输出引脚连接到6脚数码管的A、B、C、D引脚上,就可以实现数字的显示。
6脚数码管的驱动原理是基于多路复用的思想,通过控制不同的引脚来显示不同的数字。
在实际应用中,我们可以使用数字集成电路来实现6脚数码管的驱动,从而实现数字的连续显示。
简述数码管的驱动原理和应用

简述数码管的驱动原理和应用一、驱动原理数码管是一种能够显示数字、字母和符号等信息的显示器件,广泛应用于计算机、电子仪器仪表、计时器和计算器等电子设备中。
数码管的驱动原理是通过控制不同的电流流经不同的LED管来显示不同的字符。
数字数码管主要由7个LED管组成,每个LED管被称为一个“段”,由a、b、c、d、e、f和g七个片段组成。
通过不同的LED管组合可以显示0-9、A-F等字符。
数码管的驱动采用共阳极和共阴极两种方式。
共阳极数码管中,电源连接到所有的阳极上,各个LED片段被接到各个阴极上。
当需要点亮某个片段时,对应的阴极接通电流,而阳极接通地。
共阴极数码管则恰好相反。
二、驱动应用1. 计时器和钟表数码管广泛应用于计时器和钟表等设备中,用于显示时间和计时功能。
计时器通常使用共阳极数码管,通过控制各个阴极来显示不同的数字。
通过组合不同的数码管,可以实现小时、分钟和秒的显示。
2. 电子仪器仪表在电子仪器仪表中,数码管常被用于显示各种测量参数,如电压、电流、温度等。
通过将数码管与传感器连接,可以将传感器获取的物理量转换为数字信号,并通过数码管进行直观显示。
3. 计算器和电子屏在计算器和电子屏幕中,数码管被广泛用于显示数字和算式。
通过控制不同组合的数码管,可以显示各种数字和算符,实现数字输入、运算和显示。
4. 游戏机和娱乐设备数码管也常被用于游戏机和娱乐设备中,用于显示分数、倒计时和游戏信息等。
通过控制数码管的显示,可以提供更加直观和有趣的游戏体验。
5. 路灯和信号灯在路灯和信号灯中,数码管通常被用于显示信号状态和倒计时功能。
通过控制数码管的显示,可以提供更加清晰和直观的信息,方便行人和车辆观察和判断。
6. 信息显示数码管在信息显示设备中也有一定的应用,如价格显示器、公告牌等。
通过使用数码管显示信息,可以提供更加直观和醒目的展示效果,吸引观众的注意力。
三、总结数码管通过控制LED管的点亮与熄灭来显示数字、字母和符号等信息。
数码管的驱动原理

数码管的驱动原理所谓共阳共阴,是针对数码管的公共脚而言的.一个1位典型的数码管,一般有10个脚,8个段码(7段加1个小数点),剩下两个脚接在一起。
各个段码实际上是一个发光二极管,既然是发光二极管,就有正负极。
所谓共阳,也就是说公共脚是正极(阳极),所有的段码实际上是负极,当某一个或某几个段码位接低电平,公共脚接高电平时,对应的段码位就能点亮,进而组合形成我们看到的数字或字母。
共阴刚好相反,也就是公共脚是负极(阴极),段码位是阳极,当公共脚接地,段码位接高电平时,对应段码位点亮.1位数码管是这样,更多位的数码管也基本跟这个原理类似。
共阴共阳与电路接线密切相关,决定了驱动电路的接法,因此在电路设计前要考虑好数码管的类型,否则就不能实现显示的效果了。
驱动共阴数码管一般用PNP,共阳的用NPN图一低电平有效,图二高电平有效现在让我们用实验板上的两个数码管来做一个循环显示00~99数字的实验,先来完成必要的硬件部分,数码管有共阴和共阳的区分,单片机都可以进行驱动,但是驱动的方法却不同,并且相应的0~9的显示代码也正好相反。
首先我们来介绍两位共阳数码管的单片机驱动方法,电路如下图:网友可以看到:P2.6和P2。
7端口分别控制数码管的十位和个位的供电,当相应的端口变成低电平时,驱动相应的三极管会导通,+5V通过IN4148二极管和驱动三极管给数码管相应的位供电,这时只要P0口送出数字的显示代码,数码管就能正常显示数字。
因为要显示两位不同的数字,所以必须用动态扫描的方法来实现,就是先个位显示1毫秒,再十位显示1毫秒,不断循环,这样只要扫描时间小于1/50秒,就会因为人眼的视觉残留效应,看到两位不同的数字稳定显示。
下面我们再介绍一种共阴数码管的单片机驱动方法,电路如下图:网友可以看到:+5V通过1K的排阻直接给数码管的8个段位供电,P2。
6和P2。
7端口分别控制数码管的十位和个位的供电,当相应的端口变成低电平时,相应的位可以吸入电流.单片机的P0口输出的数据相当于将数码管不要显示的数字段对地短路,这样数码管就会显示需要的数字.网友可以看到,共阴数码管的硬件更简单,所以在批量生产时,硬件开销小,节省PCB 面积,减少焊接工作量,降低综合成本,所以采用共阴数码管更有利于批量生产,现在销售的试验板都是采用共阴数码管了.。
数码管显示电路的原理

数码管显示电路的原理
数码管显示电路通过控制电压信号的高低来驱动数码管的不同段进行显示。
数码管是由多个发光二极管组成的,每个发光二极管对应显示一个数字或符号。
数码管显示电路主要由以下几个部分组成:
1. 数字信号发生器:用来产生需要显示的数字或符号的电信号。
该信号可以通过逻辑门、计数器、微控制器等方式产生。
2. 译码器:将数字信号转换为控制数码管显示的信号。
译码器一般采用BCD码(二进制编码十进制)或者7段码来表示数字。
3. 驱动电路:将译码器输出的信号转换为适合驱动数码管的电压和电流。
驱动电路一般使用三极管、开关电路等来完成。
4. 数码管:由多个发光二极管(LED)组成,每个发光二极管对应一个数字或符号的显示段。
数码管的引脚连接到驱动电路上。
5. 电源电路:为整个数码管显示电路提供工作电压。
一般使用稳压电源或者适配器来提供稳定的直流电压。
工作原理如下:
当数字信号发生器产生需要显示的数字或符号的电信号时,该
信号经过译码器转换为对应的亮灭控制信号,然后通过驱动电路产生适合数码管的控制电压和电流。
驱动电路按照控制信号的要求,通过对应的引脚将控制信号传递给数码管。
这样,数码管的不同段就会根据控制信号的高低来亮灭,从而显示出对应的数字或符号。
整个数码管显示电路在工作时,可以通过改变数字信号的输入来实现不同数字或符号的动态显示。
经过适当的控制和调节,数码管显示电路可以显示出各种数字、字母、符号等。
6脚数码管驱动原理

6脚数码管驱动原理脚数码管是一种常见的数字显示器件,它的形状像字母“H”,由七个发光二极管(LED)构成,可以显示数字、字母以及一些符号。
常见的有4位数码管和6位数码管,下面主要介绍6位数码管的驱动原理。
1. 数码管的基本结构与工作原理数码管的每个发光二极管(LED)有一个引脚与数字电路相连,每个引脚都有一个单独的输入信号。
当有信号输入时,相应的LED会发出光亮,从而显示数字或其他符号。
而6位数码管由共阴极或共阳极两种结构,分别是:共阴极结构:数码管的每个LED的阴极连接在一起,称为共阴极。
当在共阴极接口输入高电平时,LED矩阵内的二极管被导通,显示数字或符号。
因此,当接口输入低电平时,该LED被关闭。
2. 驱动器要驱动数码管,需要一个驱动器来控制输入信号。
驱动器的作用是将输入的逻辑信号转换为适合数码管的电流信号来控制LED的发光。
驱动器的设计应根据数码管的类型和需求来合理选择。
下面介绍两种常用的驱动器:BDCD4511数码管驱动芯片:它是一种4位BCD驱动器,可以驱动4位7段数码LED。
在使用该器件时,需要将四位BCD码分别输入到BCD输入端(A,B,C和D),并将BCD使能端(LE)拉高。
74HC595芯片驱动:它是常用的串转并芯片,可以控制多个输出器件。
用74HC595芯片驱动数码管时,将输入的序列信号转换为并行输出信号,并将输出信号输入到数码管的引脚。
3. 数码管的控制通过逻辑电路可以控制数码管的灯光转换。
以下是数码管的控制方式:编码器:编码器通常用于数码管中的数字输入。
它将输入的数字编码转换为二进制代码,然后将其送入数码管驱动器中以控制其输出到LED的引脚。
时序控制器:在6位数码管中,如果需要显示不同的数字,需要通过时序控制器来控制信号的输入。
时序控制器的作用是控制输入信号的顺序和时间,来实现不同数字的显示。
数码管驱动芯片原理

数码管驱动芯片原理
数码管驱动芯片原理:
数码管驱动芯片是一种集成电路,用于控制数码管的显示。
数码管一般由数个发光二极管组成,通过驱动芯片控制每个发光二极管的亮灭状态,从而实现数字、字符或符号的显示。
数码管驱动芯片的原理基于多路复用技术。
它通过在不同的时间间隔内快速切换数码管的通路,使得人眼无法察觉到切换过程,从而在同一个数码管上显示多个数字、字符或符号。
驱动芯片通常包含多个引脚,每个引脚控制一个发光二极管的亮灭状态。
通过控制这些引脚的电平状态,驱动芯片可以实现对不同数码管的控制。
驱动芯片内部包含计数器和寄存器,用于存储要显示的数字、字符或符号的相关信息。
在驱动芯片工作时,计数器循环计数,每次计数器增加时,寄存器中存储的数据也会随之更新。
驱动芯片根据寄存器中的数据,决定哪些引脚需要输出高电平,从而点亮相应的发光二极管。
通过不断更新寄存器中的数据,驱动芯片可以实现动态的数字、字符或符号显示。
驱动芯片的工作原理非常灵活,可以通过外部控制信号来改变显示的内容和亮度。
例如,可以通过外部引脚接入微控制器或其他数字电路,以实现动态的显示效果。
此外,驱动芯片通常也具有对数码管亮度的控制功能,可以通过调节电流或引脚状态来改变亮度。
总结而言,数码管驱动芯片基于多路复用原理,通过控制发光二极管的通路状态来实现数字、字符或符号的显示。
它具有灵活的控制方式,可以通过外部信号来改变显示内容和亮度。
这是数码管显示技术中不可或缺的组成部分。
数码管驱动电路的设计与制作实训目的
数码管驱动电路的设计与制作实训目的数码管是一种常见的电子显示器件,广泛应用于各类电子设备中。
在本次实训中,我们将学习如何设计和制作一个数码管驱动电路,以掌握其工作原理和实际应用。
一、数码管基本原理数码管是一种由多个发光二极管组成的显示器件,可以显示数字、字母和符号等信息。
常见的数码管有共阳极和共阴极两种类型。
共阳极数码管的阳极连接在一起,共阴极数码管的阴极连接在一起。
数码管的显示原理是利用发光二极管的发光特性,通过控制阳极或阴极的通断来实现数字的显示。
例如,当需要显示数字“1”时,需要使数码管第二个发光二极管发光,此时共阳极数码管的第二个阳极接通,共阴极数码管的第二个阴极断开。
二、数码管驱动电路设计为了控制数码管的显示,需要设计一个驱动电路。
常用的数码管驱动电路有直接驱动电路和多路复用驱动电路两种。
1. 直接驱动电路直接驱动电路是将每个数码管的阳极或阴极分别接到芯片的GPIO 引脚上,通过控制引脚的高低电平来实现数码管的显示。
这种驱动电路简单易懂,但是需要占用较多的GPIO引脚,不适用于控制多个数码管的情况。
2. 多路复用驱动电路多路复用驱动电路是将多个数码管的阳极或阴极连接在一起,通过控制芯片的GPIO引脚和数码管的选择信号,来实现数码管的显示。
这种驱动电路可以控制多个数码管,但是需要进行复杂的信号处理,增加了设计难度。
三、数码管驱动电路制作在制作数码管驱动电路之前,需要准备好以下材料和工具:1. 数码管 x n个2. 74HC595芯片 x 1个3. 电阻220Ω x n个4. 电容0.1μF x 1个5. 面包板 x 1个6. 杜邦线若干7. 电路图设计软件制作步骤如下:1. 根据电路图设计软件,设计并绘制出数码管驱动电路的电路图。
2. 在面包板上按照电路图进行连线,连接数码管、74HC595芯片、电阻、电容等元件。
3. 将面包板连接到开发板上,通过程序控制GPIO引脚的高低电平,实现数码管的显示。
数码管的动态扫描与驱动
数码管的动态扫描与驱动数码管的基本原理 关于数码管,⼀个单个的数码管可以看做是多个led灯的集合,如下图所⽰其中的8和。
都是LED组成的,通过引脚上电即可点亮不同的LED然后组成不同的数字,这个过程在数码管的设计中叫做段选。
在多个数码管的情况下,需要选择哪个数码管点亮,这个在数码管设计中称作位选,多个数码管可以通过位选和段选完成电⼦时钟设计等功能。
下⾯通过项⽬对于多个数码管进⾏点亮,让其在开发板上显⽰不同的数据。
预计实验现象: 在quartus的in system source and probes editor ⼯具,输⼊需要显⽰在数码管上的数据,则数码管显⽰对应数据。
相关知识点: 数码管动态扫描的实现、in system source and probes editor调试⼯具的使⽤。
设计过程: 1、数码管动态扫描实现。
2、In system sources and probes edit (ISSP)调试⼯具的使⽤ 3、4输⼊查找表,6位输出。
4、分频模块,从系统时钟分频得到1KHz的扫描时钟 5、6选⼀多路选择器,选择为当前数码管的位置。
驱动模块逻辑电路图:下⾯就是照着逻辑电路图来编写程序了。
创建⼯程,添加⽂件module segment(disp_data,rst_n,clk,en ,sel,seg);input clk;//50Minput rst_n;input en;input [23:0]disp_data;output [5:0]sel;//位选(控制哪个数码管亮)output reg [6:0]seg;//段选(控制数码管显⽰什么数据)//分频器的代码,这⾥为了完整,不做多个⽂件来写模块了reg[14:0] diviter_cnt; //25000-1reg clk_1k;reg [5:0]sel_r;reg [3:0]data_temp;//待显⽰数据缓存//⽣成⼀个分频计数器计数always@(posedge clk or negedge rst_n)if (!rst_n)diviter_cnt<=15'd0;else if (!en)diviter_cnt<=15'd0;else if (diviter_cnt==24999)diviter_cnt<=15'd0;elsediviter_cnt<=diviter_cnt+1'b1;//1k扫描时钟⽣成always@(posedge clk or negedge rst_n)if (!rst_n)clk_1k<=1'b0;else if (diviter_cnt==24999)clk_1k<=~clk_1k; //⼤型设计中,这种产⽣分频器的⽅法是不可以的//位选移位寄存器always@(posedge clk_1k or negedge rst_n)if (!rst_n)sel_r<=6'b000_001;else if(sel_r==6'b100_000)sel_r<=6'b000_001;elsesel_r<=sel_r<<1;//设计⼀个6选⼀多路器always@(*)case(sel_r)6'b000_001:data_temp=disp_data[3:0];6'b000_010:data_temp = disp_data[7:4];6'b000_100:data_temp=disp_data[11:8];6'b001_000:data_temp=disp_data[15:12];6'b010_000:data_temp=disp_data[19:16];6'b100_000:data_temp=disp_data[23:20];defaultdata_temp<=4'b0000;endcase//译码器always@(*)case (data_temp)4'h0:seg=7'b1000000;//这⾥按数码管码表来4'h1:seg=7'b1111001;4'h2:seg=7'b0100100;4'h3:seg=7'b0110000;4'h4:seg=7'b0011001;4'h5:seg=7'b0010010;4'h6:seg=7'b0000010;4'h7:seg=7'b1111000;4'h8:seg=7'b0000000;4'h9:seg=7'b0010000;4'ha:seg=7'b0001000;4'hb:seg=7'b0000011;4'hc:seg=7'b1000110;4'hd:seg=7'b0100001;4'he:seg=7'b0000110;4'hf:seg=7'b0001110;endcase//⼆选⼀多路器assign sel=(en)?sel_r:6'b000_000;endmodule编写testbench⽂件来进⾏仿真`timescale 1ns/1ns`define clk_period 20module HXE_tb;reg Clk; //50Mreg Rst_n;reg En; //数码管显⽰使能,1使能,0关闭reg [31:0]disp_data;wire [7:0] sel;//数码管位选(选择当前要显⽰的数码管)wire [6:0] seg;//数码管段选(当前要显⽰的内容)HXE8 HXE8(.Clk(Clk),.Rst_n(Rst_n),.En(En),.disp_data(disp_data),.sel(sel),.seg(seg));initial Clk = 1;always#(`clk_period/2) Clk = ~Clk;initial beginRst_n = 1'b0;En = 1;disp_data = 32'h12345678;#(`clk_period*20);Rst_n = 1;#(`clk_period*20);#20000000;disp_data = 32'h87654321;#20000000;disp_data = 32'h89abcdef;#20000000;$stop;endendmodule点击仿真运⾏,可以看到sel和seg的输出与我们期望的是⼀样的,即位选进⾏移位操作,段选显⽰123456和abcdef。
io驱动数码管原理
驱动数码管显示的原理通常涉及到单片机IO口输出控制和数码管的内部结构。
数码管的基本结构:
数码管(LED或LCD)由多个发光二极管(对于LED 数码管)或者液晶段组成,这些发光单元按照特定排列形成0-9的数字以及其他字符形状。
常见的7段数码管有8个引脚:7个段选(a-g)对应7个不同的发光段,以及1个公共端(Common Anode或Common Cathode)。
共阴极数码管驱动原理:
在共阴极数码管中,所有段的阴极连接在一起作为公共地线(公共端接地),而每个段的阳极为独立控制的输入端,分别与单片机的IO口相连。
要让数码管显示某个数字或字符,就需要通过单片机对应的IO口送出低电平信号给需要点亮的段选,同时公共端接高电平(+5V或其他工作电压)。
这样,相应的段就会被点亮,组合成所需的数字或字符。
共阳极数码管驱动原理:
而在共阳极数码管中,公共端为正极,各个段的阴极
为独立控制的输入端,当要点亮某个段时,其对应的IO口送出高电平,而公共端则提供电源电流,未被点亮的段对应的IO口保持低电平,不导通电流。
动态扫描方式:
为了节省单片机的IO资源,实际应用中常采用动态扫描的方式驱动多位数码管。
例如4位数码管仅使用8个IO口进行轮流点亮,通过快速循环刷新各位置的显示数据,利用人眼视觉暂留效应实现多位数码管的同时显示效果。
总结来说,单片机通过IO口对数码管的段选进行高低电平切换,配合公共端的电平控制,以达到选择性点亮数码管内部不同发光段的目的,从而显示出预设的数字、字母或者其他符号。
三极管驱动共阳数码管原理
三极管驱动共阳数码管原理三极管是一种常用的电子元件,有很多应用领域,其中一个重要的应用就是驱动共阳数码管。
共阳数码管是一种常见的数字显示装置,由共阳极和七段LED灯组成。
在正常工作状态下,共阳极和七段LED灯的阳极都与电源的正极相连接,而LED的阴极通过转换电路连接到三极管的发射极。
驱动共阳数码管的原理是通过三极管的开关特性来控制LED的亮灭。
在驱动过程中,通过控制三极管的基极电压来控制三极管的导通和截止。
具体步骤如下:1.初始状态:数码管的显示为“0”,即所有的七段LED灯都被熄灭。
此时,控制三极管的基极电压为低电平,使得三极管进入截止状态,LED灯不亮。
2.数字输入:当需要显示一个数字时,通过输入相应的信号,在驱动电路中产生一个控制信号。
3.控制信号放大:控制信号经过放大电路后,得到一个足够大小的电压信号,作为三极管的基极电压。
4.三极管导通:当三极管的基极电压足够高时,三极管进入导通状态。
此时,LED的阴极与三极管的发射极相连,而LED的阳极与电源的正极相连。
电流从发射极流向基极,然后通过LED的阴极,最终通向地。
5.LED亮灭:根据输入的数字,通过控制多个三极管,可以逐段地点亮LED。
通过控制各个段的亮灭组合,就可以显示出不同的数字。
6.循环显示:当显示一个数字的时间结束后,需要继续显示下一个数字。
此时,控制信号改变,三极管的基极电压降低,使得三极管进入截止状态,LED灭。
然后,通过控制下一个三极管,来显示下一个数字。
驱动共阳数码管的关键是通过合理的控制信号来控制三极管的导通和截止。
通过改变控制信号的高低电平和持续时间,可以灵活地控制相应的LED灯的亮灭情况,从而同时显示多个数字。
需要注意的是,驱动共阳数码管时要保证三极管的控制信号符合三极管的参数要求,比如电流和电压限制。
此外,在设计电路时还要考虑到数码管和驱动电路之间的电流和电压匹配,以及电流限制电阻等。
综上所述,通过合理的控制信号和电路设计,可以很好地驱动共阳数码管,实现数字的显示。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数码管的驱动原理
所谓共阳共阴,是针对数码管的公共脚而言的。
一个1位典型的数码管,一般有10个脚,8个段码(7段加1个小数点),剩下两个脚接在一起。
各个段码实际上是一个发光二极管,既然是发光二极管,就有正负极。
所谓共阳,也就是说公共脚是正极(阳极),所有的段码实际上是负极,当某一个或某几个段码位接低电平,公共脚接高电平时,对应的段码位就能点亮,进而组合形成我们看到的数字或字母。
共阴刚好相反,也就是公共脚是负极(阴极),段码位是阳极,当公共脚接地,段码位接高电平时,对应段码位点亮。
1位数码管是这样,更多位的数码管也基本跟这个原理类似。
共阴共阳与电路接线密切相关,决定了驱动电路的接法,因此在电路设计前要考虑好数码管的类型,否则就不能实现显示的效果了。
驱动共阴数码管一般用PNP,共阳的用NPN
图一低电平有效,图二高电平有效
现在让我们用实验板上的两个数码管来做一个循环显示00~99数字的实验,先来完成必要的硬件部分,
数码管有共阴和共阳的区分,单片机都可以进行驱动,但是驱动的方法却不同,并且相应的0~9的显示代码也正好相反。
首先我们来介绍两位共阳数码管的单片机驱动方法,电路如下图:
网友可以看到:P2.6和P2.7端口分别控制数码管的十位和个位的供电,当相应的端口变成低电平时,驱动相应的三极管会导通,+5V通过IN4148二极管和驱动三极管给数码管相应的位供电,这时只要P0口送出数字的显示代码,数码管就能正常显示数字。
因为要显示两位不同的数字,所以必须用动态扫描的方法来实现,就是先个位显示1
毫秒,再十位显示1毫秒,不断循环,这样只要扫描时间小于1/50秒,就会因为人眼的视觉残留效应,看到两位不同的数字稳定显示。
下面我们再介绍一种共阴数码管的单片机驱动方法,电路如下图:
网友可以看到:+5V通过1K的排阻直接给数码管的8个段位供电,P2.6和P2.7端口分别控制数码管的十位和个位的供电,当相应的端口变成低电平时,相应的位可以吸入电流。
单片机的P0口输出的数据相当于将数码管不要显示的数字段对地短路,这样数码管就会显示需要的数字。
网友可以看到,共阴数码管的硬件更简单,所以在批量生产时,硬件开销小,节省PCB 面积,减少焊接工作量,降低综合成本,所以采用共阴数码管更有利于批量生产,现在销售的试验板都是采用共阴数码管了。