2位十进制可加减计数器电路
两片74ls192组成两位十进制减法计数器真值表

两片74ls192组成两位十进制减法计数器真值表两片74ls192组成两位十进制减法计数器,可以用于计算机编程和电子电路设计中。
74ls192是一款2x24位的动态存储器,可以在192位时钟周期内读写,具有高吞吐量和低延迟的特点。
在两位十进制减法计数器中,需要将输入的两位二进制数减去所需的减法值,并将结果存储在计数器的二进制位中。
为了实现这一功能,可以使用两片74ls192来实现一个简单的两位十进制减法计数器。
下面是两片74ls192组成的两位十进制减法计数器真值表的扩展:| 计数器 | 输入 | 减法值 | 真值表 || ---- | ---- | ---- | ---- || 1 | 10 | 0 | 00000000 || 2 | 11 | 1000 | 00000011 || 3 | 12 | 001000 | 00000010 || 4 | 13 | 101000 | 00000011 || 5 | 14 | 010010 | 00000010 || 6 | 15 | 001101 | 00000010 || 7 | 16 | 101100 | 00000011 || 8 | 17 | 011100 | 00000010 || 9 | 18 | 110101 | 00000011 || 10 | 19 | 101110 | 00000010 || 11 | 20 | 011011 | 00000010 || 12 | 21 | 101011 | 00000011 || 13 | 22 | 010010 | 00000010 || 14 | 23 | 001101 | 00000010 || 15 | 24 | 101101 | 00000011 || 16 | 25 | 011001 | 00000010 || 17 | 26 | 101100 | 00000011 || 18 | 27 | 011011 | 00000010 || 19 | 28 | 101111 | 00000011 || 20 | 29 | 011100 | 00000010 || 21 | 30 | 101011 | 00000011 || 22 | 31 | 011101 | 00000010 || 23 | 32 | 110101 | 00000011 || 24 | 33 | 011100 | 00000010 || 25 | 34 | 101100 | 00000011 || 26 | 35 | 011011 | 00000010 || 27 | 36 | 101101 | 00000011 || 28 | 37 | 011001 | 00000010 || 29 | 38 | 101100 | 00000011 || 30 | 39 | 011011 | 00000010 || 31 | 40 | 110101 | 00000011 |通过使用两片74ls192,我们可以实现一个复杂的两位十进制减法计数器,从而在计算机编程和电子电路设计中发挥重要的作用。
太原理工大学EDA实验报告-2位十进制加法器

实验报告课程名称:EDA技术与FPGA应用设计课设题目:2位十进制计数器实验地点:信息学院楼CPLD实验室专业班级:学号:学生姓名:指导教师:张文爱2016年4月1日实验二2位十进制计数器一、实验目的1.熟悉ispDesignEXPERT System、QuartusII的原理图设计流程的全过程。
2.学习简单时序电路的设计方法。
3.学习EDA设计的仿真和硬件测试方法。
二、实验原理2位十进制计数器参考原理图如图1所示,也可以采用其他元件实现。
图1.用74LS390设计一个有时钟使能的2位十进制计数器三、实验任务(1)设计2位十进制计数器电路。
(2)在EDA环境中输入原理图。
(3)对计数器进行仿真分析、引脚锁定、硬件测试。
四、实验步骤1、设计电路原理图设计含有时钟使能及进位扩展输出的十进制计数器。
可以选用双十进制计数器74LS390或者十进制计数器74LS160和其他一些辅助元件来完成。
2、计数器电路的实现。
绘制过程中应特别注意图形设计规则中信号标号和总线的表达方式。
若将一根细线变成一粗线显示的总线,可以先单机使其变红,再选Option选项中的Line Style;若在某线上加信号标号,也应该点击该线某处使其变成红色,然后键入标号名称,标有相同标号的线段可视为连接线段,不必直接连接。
总线可以以标号方式进行连接。
3、编程测试。
五、实验结果图2.用74LS390设计一个有时钟使能的2位十进制计数器六、实验感想通过本次实验学会了简单时序电路的设计方法。
学会了使用QuartusII软件调用元器件库进行原理图设计的方法和设计流程。
这次实验让我学会了用FPGA设计电路并且学会了FPGA的设计过程和实现方法。
提高了动手能力,加深了对所学知识的理解。
这次EDA实验,提高了动手能力,加深了对所学知识的理解。
2位10进制计数器

//设计一个2位10进制计数器,每秒加1,在LED上显示#include "reg51.h"#define THCO 0xee#define TLCO 0x0#define THC1 0xee#define TLC1 0x0unsigned char code Duan[]={0x3F, 0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F};unsigned char Data_Buffer[2]={0,0};unsigned int count=0;sbit P10=P1^0;sbit P11=P1^1;sbit P12=P1^2;sbit P13=P1^3;void main(){TMOD=0x11;TH0=THCO;TL0=TLCO;TR0=1;ET0=1;TH1=THC1;TL1=TLC1;TR1=1;ET1=1;EA=1;while(1);}void timer1() interrupt 3{static unsigned char Bit1;TH1=THC1;TL1=TLC1;count++;if(count>200){count=0;Bit1++;if(Bit1>99)Bit1 = 0;Data_Buffer[0]=Bit1/10;Data_Buffer[1]=Bit1%10; }}void timer0() interrupt 1{static unsigned char Bit=0;TH0=THCO;TL0=TLCO;Bit++;if(Bit>=2)Bit=0;P1|=0x0f;P0=Duan[Data_Buffer[Bit]]; switch(Bit){case 0: P10=0;break;case 1: P11=0;break;}}实验5思考题#include "reg51.h"#define THCO 0xee#define TLCO 0x00#define THC1 0xee#define TLC1 0x00unsigned char code Duan[]={0x3F, 0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F};unsigned char Data_number[4]={1,2,3,4};unsigned char Data_time[2]={0,0};sbit P10=P1^0;sbit P11=P1^1;sbit P12=P1^2;sbit P13=P1^3;sbit key=P3^2;int mode=0,Bit1=0,Bit=0;void main(){TMOD=0x11;TH0=THCO;TL0=TLCO;TR0=1;ET0=1;EX0=1;IT0=1;TH1=THC1;TL1=TLC1;TR1=0;ET1=1;EA=1;while(1);}void tans_mode() interrupt 0{int t;if(key==0){for(t=0;t<1000;t++);if(key==0){while(!key);mode++;if(mode==3)mode=0;Bit=0;if(mode==2){TR1=1;Bit1=0;}else{TR1=0;}}}}void timer1() interrupt 3{static unsigned int count=0;TH1=THC1;TL1=TLC1;count++;if(count>200){count=0;Bit1++;if(Bit1>99)Bit1=0;Data_time[0]=Bit1/10;Data_time[1]=Bit1%10; }}void timer0() interrupt 1{TH0=THCO;TL0=TLCO;P1=0x0f;if(mode==0){P0=Duan[0];}if(mode==1){Bit++;if(Bit>=4)Bit=0;P0=Duan[Data_number[Bit]]; }if(mode==2){Bit++;if(Bit>=2)Bit=0;P0=Duan[Data_time[Bit]];}switch(Bit){case 0: P10=0;break; case 1: P11=0;break; case 2: P12=0;break; case 3: P13=0;break; }}。
十进制加法计数器

十进制加法器设计1课程设计的任务与要求 课程设计的任务1、综合应用数字电路知识设计一个十进制加法器。
了解各种元器件的原理及其应用。
2、了解十进制加法器的工作原理。
3、掌握multisim 软件的操作并对设计进行仿真。
4、锻炼自己的动手能力和实际解决问题的能力。
5、通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握十进制加法器的设计方法。
课程设计的要求1、设计一个十进制并运行加法运算的电路。
2、0-9十个字符用于数据输入。
3、要求在数码显示管上显示结果。
2十进制加法器设计方案制定 加法电路设计原理图1加法运算原理框图如图1所示第一步 置入两个四位二进制数。
例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。
第二步将置入的数运用加法电路进行加法运算。
第三步前面所得结果通过另外两个七段译码器显示。
即:加法运算方式,则(1000)2+(0110)2=(1110)2 十进制8+6=14 并在七段译码显示出14。
运算方案通过开关S1——S8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U8和U9分别显示所置入的两个数。
数A直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。
四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S5——S8,通过开关S5——S8控制数B的输入,通过加法器74LS283完成两个数A和B的相加。
由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)2时加上3(0011)2,产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。
3十进制加法器电路设计加法电路的实现用两片4位全加器74LS283和门电路设计一位8421BCD码加法器。
EDA实验报告2_2位十进制频率计

姓名
学号
专业年级
电子信息工程
实验题目
2位十进制数字频率计的设计
实验目的
1.熟悉原理图输入法中74系列等宏功能元件的试用方法,掌握复杂的原理图层次化设计技术和数字系统设计方法
2.完成2位十进制频率计的设计,学会利用实验系统板上的FPGAຫໍສະໝຸດ 证较复杂设计项目的方法实验原理
该频率计由三个模块构成
1.2位十进制计数器count_8.bdf的时序仿真波形中,q[3..0]由0递增到9,进位输出给高位q[7..4],待q[7..4]计数到9,cout产生进位信号。
2.10分频器frep.vhdl输出outclk做为测频时序控制电路模块的控制信号输入,产生的count_8的计数使能信号CNT_EN(<= enb)有如下关系CNT_EN = 8Xoutclk。
4.测频时序控制电路模块
按一定的时序产生三个控制信号CNT_EN(<=ENB(count_8))、CLR(<=CLR(count_8))、LOCK(<=CLK(74374)),完成计数、清零、锁存功能。实验板只提供22.1184MHz时钟输入端,因此须再设计一个分频器frep.bdf将F_IN端频率进行10分频,接入ft_ctro.bdf的CLK(测评控制时钟)端。
3.数码管的理论显示值为N = ToutclkXNTCNT_EN/F_IN,其中F_IN为待测频率,NTCNT_EN= 8,Toutclk为十分频后的输出信号outclk的周期。待测频率稳定后,74248译码输出分别为H[6..0] = 16#7F#,L[6..0] = 16#7E#,数码管显示即为80,硬件验证结果与预期相符。
2.用vhdl文本输入法十分频电路frep.vhdl的设计,创建projet,编译仿真,给出时序波形,并形成frep.bsf符号入库。
篮球比赛数字记分牌-数字电路

目录一、设计任务与要求 (1)二、设计中用到的元件清单 (1)三、总体方案的设计 (1)四、模块设计 (2)五、单元电路的设计 (3)六、总体电路图 (8)七、电路工作原理 (8)八、电路组装与调试 (8)九、分析与心得 (9)十、参考文献 (9)题目:篮球比赛数字记分牌一、设计任务与要求设计篮球比赛数字计分牌,以达到以下要求:1.分别记录两队得分情况;2.进球得分加2分,罚球进球得分加1分;3.纠正错判得分减2分或1分;4.分别用三个数码管显示器记录两队的得分情况。
二、设计中用到的元件清单CD40192(可预置BCD加/减计数器(双时钟)) ---------------- 2个;CD4011或74HC00(四2输入与非门) ---------------------- 1个;CD4027 (双J-K触发器) ------------------------------- 1个;CD4511(BCD锁存,7段译码,驱动器) -----------------------2个;LED数码管 ------------------------------------------ 3个;按键开关 --------------------------------------------- 3个;二极管 1N4001 ---------------------------------------- 2个电容 104 ------------------------------------------ 2个;103 -------------------------------------------- 2个;电阻 47k -------------------------------------------- 1个;10kΩ ------------------------------------------ 5个;2kΩ ------------------------------------------ 14个;实验板一个;导线若干等。
VHDL双向十进制加减法计数器(代码和截图)

);
end entity;
architecture rtl of vh is
SIGNAL temp:std_logic_vector(3 downto 0);
begin
process (clk)
begin
if(we='1')then
temp<=d;
elsif(clk'event and clk='1')then
if(dir='0')then
if(temp<9)then
temp<=temp+'1';
co<=ห้องสมุดไป่ตู้0';
else
temp<="0000";
co<='1';
end if;
elsif(dir='1')then
if(temp>0)then
temp<=temp-'1';
co<='0';
else
temp<="1001";
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
entity vh is
port
(
clk: in std_logic;
dir: in std_logic;
we: in std_logic;
d : in std_logic_vector(3 downto 0);
co<='1';
数显两位十进制计数器电路设计报告

东北大学秦皇岛分校计算机与通信工程学院电子线路课程设计压力测量数显电路专业名称班级学号学生姓名指导教师设计时间课程设计任务书专业学号学生姓名(签名):设计题目:压力测量数显电路一、设计实验条件Multisim实验室二、设计任务及要求1.熟悉译码器、555定时器、计数器和七位数码管的功能,并熟练应用;2.掌握555定时器产生脉冲的原理和方法;3.选择合适的器件来构成脉冲信号发生器;4.学会利用计数器构成不同进制的计数器;5.设计压力测量数显电路。
三、设计报告的内容1.设计题目与设计任务(设计任务书)2.前言(绪论)(设计的目的、意义等)3.设计主体(各部分设计内容、分析、结论等)4.结束语(设计的收获、体会等)5.参考资料四、设计时间与安排1、设计时间:2周2、设计时间安排:熟悉实验设备、收集资料:2 天设计图纸、实验、计算、程序编写调试: 5天编写课程设计报告:2 天答辩:1 天1、绪论随着计算机科学与技术迅猛地发展,用数字电路进行信号处理的优势也更加的突出。
数字电路具有精度高、稳定性好、抗干扰能力强、程序软件控制等一系列优点。
为了充分发挥数字电路在信号处理上的强大功能,我们可以先将拟信号按比例转换成数字信号,然后送到数字电路进行处理,最后将处理结果根据需要转换成相应的模拟信号输出。
自20世纪七十年代开始,这种用数字电路处理模拟信号的所谓“数字化”浪潮已经席卷了电子技术几乎左右的领域。
现在,学校给我提供了这次机会,我将利用所学的数电知识,仿真一个压力测试数电电路,以求更好掌握数电知识。
此次课程设计的目的是学会利用555定时器产生脉冲信号,熟练应用计数器、译码器和数码器构成压力测试数显电路。
通过此次的课程设计掌握数字信号的基本元件和知识,以实践的方式加深知识的掌握程度,扩展我们的思维。
2、系统设计(一)设计结构1、由555脉冲信号发生器产生脉冲信号;2、100进制计数器有两个74LS160计数器串联构成;3、显示器是由两个译码器和两个数码管构成。