16路循环彩灯设计报告

16路循环彩灯设计报告
16路循环彩灯设计报告

循环彩灯控制电路的设计

一、课程设计的目的

1、巩固和加强《数字电子技术》课程的理论知识。

2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。

3、掌握电子电路安装和调试的方法及其故障排除方法,学会使用multisim 软件对电路仿真。

4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。

5、培养创新能力和创新思维。

二、任务的描述

用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位寄存器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。有以下四种演示花型:

花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。能花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。

花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。

花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。

花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。

花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。

要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。

三、设计任务分析

根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位寄存器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。

四、具体设计过程的描述

根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位寄存器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位寄存器的工作方式来实现。16路彩灯需要移位寄存器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位寄存器模块LSR8和RSR8。

由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟CLK的频率为0.5Hz,使亮灭节拍与系统时钟周期相同。此时,256秒花型转换周期可以用一个模128的计数器对CLK脉冲计数来方便地实现定时,定时器模块取名为T256S。

将整个系统分为数据子系统和控制子系统,根据它们各自的不同功能划分,上述两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S 显然属于数据子系统,实现数据子系统操作控制功能的部分即为控制子系统,控制器模块取名为CONTR。

为了方便操作,设置一个加电后的手工复位信号RST。当RST有效时,将控制器模块CONTR置于合适的初始状态,使其从花型1开始演示;同时将定时器模块T256S异步清0,使计时电路一开始就能正常工作。

循环彩灯的整体结构框图如下图所示:

图1 循环彩灯整体结构框图

框图中,CO为定时器模块T256S的时间到输出,实际上就是模128计数器的进位输出,当T256S处于127时,CO为1。DR\DL分别为移位寄存器模块的右移和左移串行数据输入端,Ml、M0为移位寄存器模块的方式控制端。当MlM0=00时,移位寄存器处于保持状态;当MlM0=01时,移位寄存器处于右移状态;当MlM0=10时,移位寄存器处于左移状态;当MlM0=11时,移位寄存器处于并行置数状态。

根据规定的彩灯亮灭规律,导出系统控制器的ASM图,如图2系统控制器的ASM图所示。

其中,SRl6为2个8位移位寄存器模块LSR8和RSR8级联构成的16位移位寄存器,部分操作符号功能定义如下。

. SLO:将括号内指定的移位寄存器模块左移1位,右侧位移入0。

. SL1:将括号内指定的移位寄存器模块左移1位,右侧位移入1。

. SR0:将括号内指定的移位寄存器模块右移1位,左侧位移入0。

. SRl:将括号内指定的移位寄存器模块右移1位,左侧位移入1。

设计控制算法时,要注意保证判别条件T0(即定时器T256S的时间到输出,只可能在判别它的状态下能够为1,否则,系统将不能正常工作。由于本系统中花型1、花型2演示一遍需要2个时钟周期,花型3演示一遍需要32个时钟周期,花型4演示一遍需要16个时钟周期,而每种花型演示时间为128个时钟周期,所以,只要加电复位后控制器处于So状态,定时器处于0状态,且控制器和定时器同步工作,在每种花型的第2个状态判断T0的状态可以满足时序上的要求。

图 2 系统控制ASM图

256秒定时器模块T256S可以用两片74163级联实现,由于模为128且需要产生进位输出,所以必须将两片74163级联为128进制的程控计数器。对于两个移位寄存器模块LSR8和RSR8,可以用74198实现。

细化数据子系统结构的控制图如下图所示:

图3 16路循环彩灯控制结构图

我们可以根据循环彩灯的花型变换以及上述数据子系统列出74LS163的控制激励表:

图4 74LS163的控制激励表

LD,B1,B0,A1,A0的表达式比较复杂,我选择用数据选择器实现,其数

根据上述数据选择表画出循环彩灯控制电路的控制器

图6 以74LS163为核心构成的彩灯控制系统的控制电路

五、设计方案的确定(附具体电路图)

电路图由输出部分即数据子系统及控制电路部分组成。数据子系统由两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S组成。256秒定时器模块T256S可以用两片74163级联实现,由于模为128且需要产生进位输出,所以必须将两片74163级联为128进制的程控计数器。对于两个移位寄存器模块LSR8和RSR8,可以用74198实现。

具体电路图如下图所示:

X9X10X11X12X13X14X15X16

图7 16路循环彩灯控制电路图

六、单元电路设计和所用的元器件的选择(包括器件的引脚结构图)

1、所用元器件列表

A、两片74LS198 八位双向移位寄存器

B、三片74LS163 可预置四位二进制计数器(并清除异步)

C、五片74LS151 8选1数据选择器(互补输出)

D、一片74LS11 3输入三与门

E、两个或非门,两个与门,两个非门,一个异或门

2、所用元件的引脚结构图

74LS198

如图所示的74LS198,是一个多功能的通用寄存器。数据输入方式由引脚Sl与S0控制。

1)当Sl S0=01,是一个右移位串行输入/并行输出移位寄存器,数据由右移串行输入端输入。

2)当Sl S0=10时,是一个左移位串行输入/并行输出移位寄存器,数据由左移串行输入端输入。

3)当Sl S0=11时,是一个并行输入/并行输出移位寄存器,即Q4Q“…QGQH=AB…GH。

4)当Sl S0=00时,寄存器内数据被锁定保持(H()LD),其输出保持不变。

图8 74LS198的引脚图

◆74LS163

图9 74163标准逻辑符号图10 74163 惯用逻辑符号

该器件的标准逻辑符号较为复杂,将各部分简要说明如下:

①CTRDIVl6(Counter Divided):定性符,表明它是十六进制计数器。

②CR(Clear)的非:复位端(又称清零端),低电平有效。5CT=0:表示在时钟C5上升沿触发下电路的输出状态Q3Q2Q1Q0=0000(同步复位)。故这是一种同步清零器件。

③LD(Load)的非:置数控制端,低电平有效。M1和M2称为方式关联符:M1=1(即LD=1)——置数操作(Load),M2=1(LD=0)——计数操作。也就是说,当LD=1时,M2M1=01置数(Q3Q2Q1 Q0=D3D2DlD0);当LD=0时M2M1=l0计数。

④CT

T ,CT

P

:计数控制信号。当LD=CR=0,且CT

T

=CT

P

=1时,加法计数;

CT

T =0或CT

P

=0时,Q3~Q0保持。G3G4是与关联符。G3,G4是与关联符。

⑤CP:计数脉冲输入端。>C5:时钟编号为5,且是上升沿触发;

◆74LS151

74LS11

图11 74LS11引脚图

七、总结

通过这次课程设计,加强了我们动手、思考和解决问题的能力。在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。

通过这次课程设计,我才真正领略到“艰苦奋斗”这一词的真正含义。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,

很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

基于multisim的LED循环彩灯课程设计

课程设计报告 题目:基于Multisim的LED 循环彩灯设计与仿真课程名称:电子技术课程设计 学生姓名:程娅 学生学号:1414020205 年级:2014级 专业:电子信息工程 班级:2班 指导教师:王丽 电子工程学院制 2016年5月

基于Multisim的LED循环彩灯设计与仿真 学生:程娅 指导教师:王丽 电子工程学院电子信息工程专业 1 课程设计的任务与要求 1.1 课程设计的任务 (1)综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。 (2)深入了解交通灯的工作原理。 (3)锻炼自己的动手能力和实际解决问题的能力。 (4)掌握multisim软件的操作并对设计进行仿真。 (5)通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 1.2 课程设计的要求 (1)有十只LED,L0 (9) (2)显示方式: ①先奇数灯依次亮; ②再偶数灯依次亮; ③依次循环变化。 (3)显示间隔0.5s,1s可调。 2 LED循环彩灯系统方案制定 2.1 LED循环彩灯电路设计的原理 多谐振荡器是产生矩形脉冲的典型电路,常用来做脉冲信号源。多谢振荡器没有输入端,接通电源便自激振荡。多谢振荡器起振之后,电路没有稳态,只有两个暂稳态,他们交替变化,输出连续的矩形脉冲信号。 用555定时器构成的多谢振荡器,先用555定时器构成施密特触发器,然后将施密特触发器的输出端经RC积分电路接到施密特触发器的输入端。可以通过调节RC的大小来产生所需周期大小的脉冲信号。 2.2 LED循环彩灯电路的设计方案

根据设计要求,可画出此电路的整体框架图,如图1所示。 图1 系统实现流程 3 单元电路设计与分析 3.1 各功能模块的电路设计 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图2所示。 图2 振荡电路

八路循环彩灯控制电路课设

多功能彩灯控制器设计 目录 一、设计任务及要求----------------------------------------------------------------------- 二、设计方案-------------------------------------------------------------------------------- 三、电路元件参数计算-------------------------------------------------------------------- 四、振荡器的使用(555)--------------------------------------------------------------- 五、译码器的选用(74138)------------------------------------------------------------ 六、计数器的选用(73160)------------------------------------------------------------ 七、彩灯的控制----------------------------------------------------------------------------- 八.设计原理电路-------------------------------------------------------------------------- 九.电路仿真波----------------------------------------------------------------------------- 十.元件列表-------------------------------------------------------------------------------- 十一.故障分析与改进------------------------------------------------------------------ 十二.心得体会-----------------------------------------------------------------------------

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

循环彩灯的设计与制作

湖南工业大学课程设计 资电气与信息工程 料袋 学院(系、部) 2012-2013 学年第一学 期 课程名称电子设计与制作指导教师xx职称讲师 学生姓名x专业班级电信093学号094012003xx 题目循环彩灯的设计与制作 成绩起止日期2012 年11月19日~2012年11月30 日

湖南工业大学 课程设计任务书 2012-2013学年第一学期 电气与信息工程学院(系、部)电子信息工程专业093班级 课程名称:设计题目: 电子设计与制作循环彩灯的设计与制作 完成期限:2012 年11月19日~2012年11月30日共2

指导教师(签字):年月日 系(教研室)主任(签字):年月

日 电子技术课程设计 设 计说明 书 循环彩灯的设 计与制作 起止日期: 2012 年 11 月 19 日~2012 年 11 月 30 日 学 生姓 名 班 级 学 号 成 绩 指 导教 师 ( 签 字 ) xx 电 信 093 xx

电气与信息工程学院(部) 2012年11 月2 日 1、设计任务及要求 要求设计一个循环彩灯,采用8个LED,实现顺序/逆序流水,LED交替频率可调。自行设计电源部分,为电路板提供直流电源。自行设计信号发生部分,为电路板提供工作频率。根据技术指标进行循环彩灯总体方案设计,说明设计思路,选择相应的元器件型号,列出元器件清单,介绍主要芯片的功能,介绍各具体单元电路设计,画出完整的电路原理图、PCB图。 2、设计思路 循环彩灯主要由桥式变压器、整流电路、滤波电路、稳压电路、555定时器、74ls193计数器、3-8译码器等部分组成。首先是将220V交流电通过变压器转换成较小的交流电,通过桥式整流将电压加到直流负载上从而输出直流电压,通过滤波稳压从而实现其稳定的5V直流电压,使555定时器通过调节滑动变阻器实现秒脉冲震荡器,加到计数器的加法或者减法脉冲端口实现8进制计数,通过译码器从而实现循环彩灯功能。 3、各单元电路说明 1>开关模块 通过可调式电阻来控制电压输入,接通时发光二极管D9点亮。 2>电源电路模块

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

循环彩灯电路设计报告

《数字电子技术基础》课程设计报告 设计题目:彩灯循环控制器的设计 专业: 班级: 姓名: 学号: 指导教师: 设计日期: 2014 年 6 月

课程设计评审意见 (1)设计阶段(30分)——硬件电路运行情况 优()、良()、中()、一般()、差(); (2)报告(60分)——对于课程设计报告撰写的整体评价 优()、良()、中()、一般()、差(); (3)平时表现(10分)——课程设计过程中的表现 优()、良()、中()、一般()、差(); 总评分数: 优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。态度端正,课程设计期间无违纪行为。 良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。 中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。 一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。 差()课程设计过程中表现不佳,未能完成课程设计要求的内容。 评阅人: 2014年6月25日注: 优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)

目录 引言 (1) 第一部分:设计方案设计 (2) 1.1方案选 择: (2) 1.2功能设计及分析 (2) 1.2.1 时钟信号功能设计............................................... 错误!未定义书签。 1.2.2 花型控制功能设计 (2) 1.2.3 花型演示功能设计 (3) 1.3 原理图总图及说明 (4) 第二部分:硬件调试总结 (5) 2.1 元器件清单及说明 (5) 2.2 硬件调试 (9) 第三部分:总结 (10) 3.1 设计小结 (10) 3.2 心得体会 (11) 参考文献 (11) 附录 (12)

八路彩灯控制器 课程设计

目录 第一章总体设计 (5) 1.1设计目的 (5) 1.2设计任务与要求 (5) 1.3基本工作原理 (5) 1.4设计方案 (5) 第二章单片机简介 (6) 2.1单片机结构 (6) 2.2单片机的封装形式、引脚定义及功能 (7) 2.3单片机的工作原理 (8) 2.4 CPU的工作原理 (8) 2.5存储器结构 (9) 2.6 CPU时序及时钟电路 (10) 2.7复位操作 (12) 第三章硬件设计 (14) 3.1整体硬件接结构 (14) 3.2功能模块电路 (14) 3.3系统硬件原理电路图 (16) 第四章软件设计 (17) 4.1软件总体结构设计 (17) 4.2各功能模块设计 (18) 总结与心得 (25) 参考文献: (26)

第一章总体设计 1.1设计目的 1)了解单片机的基本原理及相关的简单应用。 2)掌握用单片机设计系统的一般步骤。 3)了解LED数码管的基本知识和驱动方法。 4)掌握单片机系统各个组成部分的作用以及分布位置。 5)学会运用单片机的硬件资源。 1.2设计任务与要求 8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。要求:完成以下花形变化: 1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。时间节拍为1秒。 2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。时间节拍为1秒。 3)循环往复,用LED管模拟彩灯。 4)用汇编语言编程,用proteus仿真。 1.3基本工作原理 此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。若查到的内容为跳出代码就重新开始循环。 1.4设计方案 软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。芯片的控制由AT89C51的外接晶振和复位电路进行控制。

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

单片机彩灯循环

《单片机原理及应用》课程设计报告 题目:彩灯循环系统 专业:电子信息工程 班级: 学号: 姓名: 指导教师:

一.实验目的: 《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。 二.实验内容: 通过8051单片机实现彩灯循环 三.实验过程: 1.引言 随着人们对房屋的装饰需要彩灯,在许多城市可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,便宜的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,。。 本方案提出了一种基于8051单片机的彩灯控制方案,实现对LED彩灯的控制。本方案以8051单片机作为主控核心,在主控模块上设有3个按键和8个码LED显示灯,根据需要可以编写若干种亮灯模式,利用其内部定时器T0实现一个基本单位时间为1 ms的定时中断,根据各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭。与普通LED 彩灯相比,具有体积小、价格低、低能耗等优点。 2.8051单片机引脚功能介绍 首先我们来连接一下单片机的引脚图,如果,具体功能在下面都有介绍。单片机的40个引脚大致可分为4类:电源、时钟、控制和I/O引脚。 ⒈电源: ⑴ VCC - 芯片电源,接+5V; ⑵ VSS - 接地端; ⒉时钟:XTAL1、XTAL2 - 晶体振荡电路反相输入端和输出端。

八路循环彩灯的设计方案

目录 摘要 (2) 第一章系统组成及工作原理 (3) 总体设计思路 (3) 基本原理 (3) 电路框图 (3) 第二章循环发光器的系统组成 (4) ) 555定时电路产生时钟脉冲 (5) 移位寄存器 (6) 方案二 74LS138及192的功能 (7) 第三章循环电路的总体设计 (8) 74LS194组成的电路 (9) 74LS138及74LS192组成的电路 (11) 第四章实验结果的调试及检测 (13) 调试使用的主要仪器 (15) ! 调试技巧的方法 (15) 调试中出现的故障、原因及排除方法 (15) 第五章总结 (17) 第六章附录 (18) 附录一 (18) 附录二 (18) 附录三 (19)

[ 摘要 本次循环控制彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。本次主要为全亮全灭,及左右移动的功能。 关键词:控制、循环、555定时电路 < )

, 彩灯循环控制电路的设计与制作 第一章系统组成及工作原理 总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯左右移,及全灭全亮功能输出电路。时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,循环控制电路采用74LS194实现。方案二中,主要是采用二进制译码器74LS138及中规模集成电路74LS192实现彩灯的循环控制。 基本原理 本次实验主要是通过两片双向移位寄存器74LS194来实现彩灯电路的循环控制,通过555定时电路来产生连续时钟脉冲进行信号的输入,由外围开关控制信号的移动方向,实现左移,右移,及全灭全亮功能。 ' 框图 -

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

16路循环彩灯设计报告

循环彩灯控制电路的设计 一、课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会使用multisim 软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 二、任务的描述 用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位寄存器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。有以下四种演示花型: 花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。能花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。 花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。 要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。 三、设计任务分析 根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位寄存器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。 四、具体设计过程的描述 根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位寄存器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位寄存器的工作方式来实现。16路彩灯需要移位寄存器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位寄存器模块LSR8和RSR8。 由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟CLK的频率为0.5Hz,使亮灭节拍与系统时钟周期相同。此时,256秒花型转换周期可以用一个模128的计数器对CLK脉冲计数来方便地实现定时,定时器模块取名为T256S。 将整个系统分为数据子系统和控制子系统,根据它们各自的不同功能划分,上述两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S 显然属于数据子系统,实现数据子系统操作控制功能的部分即为控制子系统,控制器模块取名为CONTR。

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

单片机的彩灯课程设计报告

一、系统设计 本设计以STC89C52单片机为核心,用独立键盘控制模式的选择,STC89C52单片机接收到键盘信号后控制发光二极管以不同的模式闪烁的实验装置,用STC89C52单片机控制8个发光二极管发光,实现亮点的循环移动。通过Protel软件设计,布线排版,手工制版,并能掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行,图1-1为整体方案设计框图。 图1-1 总体方框图 1.设计要求: a.上电复位。 b.上电后数码管消隐,LED灯全灭。 c.当按下第一个按键后数码管显示1第二个按键显示2以此类推。 d.每个按键控制不同的闪烁模式。 e.数码管显示有保持功能。

二、方案设计 本设计总体设计思路为:以STC89C52单片机为核心,用独立键盘作STC89C52单片机的信号输入,STC89C52单片机接收到键盘信号后经单片机内部软件处理后将数据输出至P1口和P0口,使8发光二极管以不同的模式闪烁,并由七段数码管显示其闪烁模式编号。在课程设计中通过Protel软件设计原理图,布线排版,用万能版(单孔)手工焊接制作出实物。 1.方案论证 方案一:采用汇编语言编程的软件的STC89C52单片机系统。 本方案设计的核心为STC89C52单片机系统,包括硬件和软件,硬件采用四位独立键盘做信号输入,STC89C52单片机进行信号处理并输出,显示部分为八个发光二级管和七段数码管,八个发光二极管采用共阴极接法,七段数码管采用共阳极的。软件采用Kell编辑的汇编语言程序,并烧写至STC89C52单片机。图2-1为方案一方框图 图2-1 方案一方框图

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师:赵玲 2015年1 月7 日

目录 一、课程设计目的 (3) 二、课程设计要求 (3) (一)、彩灯控制器设计要求 (3) (二)、课程设计总体要求 (3) 三、课程设计内容 (3) (一)、设计原理分析 (3) (二)、器件选择 (5) (三)、具体电路连线及设计思路 (6) 1、时钟控制电路 (6) 2、花色控制电路 (7) 3、花色演示电路 (8) 4、总体电路图 (10) 四、实际焊接电路板思路及过程 (11) (一)、设计思路及电路图 (11) (二)、设计及焊接过程 (11) (三)、电路板展示 (12) 五、课程设计总结与体会 (13)

一、课程设计目的 1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。 2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。从而学会使用常用集成数字芯片进行电路设计。 3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。 4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。 5.培养自己的动手能力,团队协作能力。 二、课程设计要求 (一)、彩灯控制器设计要求 设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式; 3.(选做内容)闪烁时实现快慢两种节拍的变换。 (二)、课程设计总体要求 (1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功; (3)每人独立完成一份设计报告。 三、课程设计内容 (一)、设计原理分析 1.基本原理如下:总体电路共分三大块。第一块实现时钟信号的产生和控制,利用555定时器连接电路实现该功能;第二块实现花型的控制及节拍控制,利用

彩灯控制器数电课程设计报告

五邑大学 数字电路课程设计报告题目:彩灯控制器 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师王玉青

彩灯控制器 一、 设计的要求和意义 1、设计的要求 使用中小型集成电路和门电路设计彩灯控制器要求完成以下功能: ● 使用555定时器产生一个10Hz 的周期信号作为时钟信号 ● 显示方式由L0到L7逐行递增点亮 可选用的器件与元件: 74LS138、NE555、74LS161各1块,发光二极管8支,电阻、电容任选。 2、设计的意义 掌握555多谐振荡器、译码器、十六进制加/减计数器的逻辑功能和工作原理,设计可改变流速的流水灯电路图,分析与设计时序控制电路。利用所学过的知识,通过设计计算﹑元件选取﹑电路板制作调试等环节,初步掌握工程设计的技能。掌握常用仪表的正确使用方法,学会简单电路的实验调试和整机指标测试方法,巩固和加深对数字逻辑电路的理论知识,锻炼动手能力。了解与课程有关的电子电路以及元器件工程技术规范,能按课程设计任务书的技术要求,编写设计说明,能正确反映设计和实验成果,能正确绘制电路图。 二、 硬件电路设计 1、方案设计 本设计采取的方案是:用NE555一个、74LS161一片、74LS138一片、74LS04一片、电阻、电容、发光二极管和门电路。由555多谐振荡器提供时钟脉冲,74LS161二进制加/减计数器一片用来计数和输出信号,通过译码器74LS138直接输出控制彩灯。如图1所示: 2、555多谐振荡器电路设计 555多谐振荡器的电路连接方式已确定的,只需根据所要产生的频率来确定外围的电阻和电容的大小。 电阻R1和R2的确定: 因为要产生10Hz 的时钟信号,先假定电容C 的值为0.1uF ,再根据公式: ) 1(2 ln )221(1 ??????+= C R R f 555多谐振荡器 计数器 译码器 彩灯 非门

循环彩灯数电课程设计

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2011年5月25日

课程设计任务书

循环彩灯 摘要:本次循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。 关键词:循环彩灯555定时器74LS19274LS138

目录 1.设计背景 (4) 1.1了解数字电路系统的定义及组成 (4) 1.2掌握时钟电路的作用及基本构成 (4) 2.设计方案 (4) 2.1总体设计路 (4) 2.2电路框图 (4) 3.方案实施 (5) 3.1 555定时电路产生始终脉冲 (5) 3.2 74LS38与74LS92功能 (6) 3.3 电路仿真 (8) 3.4 PCB板制作 (9) 4.结果与结论 (10) 4.1电路调试 (10) 4.2 理论值与实际值 (11) 5.收获与致谢 (11) 6.参考文献 (13) 7.附件 (13)

附录1 (13) 附录 2 (13) 1. 设计背景 1.1了解数字电路系统的定义及组成 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。 1.2掌握时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的 555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 2 设计方案 2.1 总体设计思路 循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。

相关文档
最新文档