五邑大学数字系统设计报告格式模板
数字系统设计实验报告

一、实验目的1. 理解数字系统设计的基本概念和流程。
2. 掌握数字电路的基本设计方法和技巧。
3. 熟悉常用数字集成电路的使用方法。
4. 培养实际动手能力和团队协作精神。
二、实验内容本次实验主要围绕数字系统设计展开,包括以下几个方面:1. 数字电路原理图绘制与仿真2. 数字系统硬件描述语言(HDL)编程3. 顶层模块设计4. 系统仿真与调试三、实验步骤1. 数字电路原理图绘制与仿真(1)根据实验要求,设计数字电路原理图,如数字时钟、移位寄存器等。
(2)使用Multisim等仿真软件对原理图进行仿真,验证电路功能。
2. 数字系统硬件描述语言(HDL)编程(1)根据原理图,使用Verilog或VHDL等HDL语言编写代码。
(2)对代码进行语法检查,确保代码正确。
3. 顶层模块设计(1)根据实验要求,设计顶层模块,如数字时钟控制器、移位寄存器控制器等。
(2)将底层模块(如计数器、触发器等)集成到顶层模块中。
4. 系统仿真与调试(1)使用仿真软件对顶层模块进行仿真,验证系统功能。
(2)根据仿真结果,对代码进行修改和优化,直至系统功能满足要求。
四、实验结果与分析1. 数字电路原理图绘制与仿真(1)原理图设计:根据实验要求,设计了一个数字时钟电路原理图,包括分频器、计数器、触发器等模块。
(2)仿真结果:通过仿真软件对原理图进行仿真,验证了电路功能。
2. 数字系统硬件描述语言(HDL)编程(1)代码编写:使用Verilog语言编写了数字时钟电路的代码,包括分频器、计数器、触发器等模块。
(2)代码验证:通过语法检查,确保代码正确。
3. 顶层模块设计(1)顶层模块设计:根据实验要求,设计了一个数字时钟控制器顶层模块,将底层模块集成到顶层模块中。
(2)系统仿真:通过仿真软件对顶层模块进行仿真,验证了系统功能。
4. 系统仿真与调试(1)系统仿真:通过仿真软件对顶层模块进行仿真,验证了系统功能。
(2)调试:根据仿真结果,对代码进行修改和优化,直至系统功能满足要求。
数字系统的设计与实验实验报告

数字系统的设计与实验学院:专业:班级:学号:姓名指导老师2013 年12月 10 日实验一原码反码发生器一实验目的:1、掌握组合逻辑电路的基本设计方法。
2、学习波形仿真的方法。
3、加深对最简单的二进制原码、反码的理解,灵活运用基本的逻辑门。
二实验内容1、设计的电路应具备以下功能:A.包含如下端口:一个选择信号端口,一个8位二进制输入端口,一个原码/反码输出端口。
B. 选择信号的逻辑状态为0时输出原码;逻辑状态为1时输出反码。
2、完成电路设计。
3、对设计的正确性进行验证。
三实验要求1、列出所要实现的功能的真值表。
2、画出电路的逻辑图。
3、编写用VHDL语言描述的源程序。
library ieee;use ieee.std_logic_1164.all;entity shiyan1 isport (cin : in std_logic_vector(7 downto 0);fin : in std_logic;cout: out std_logic_vector(7 downto 0));end shiyan1;architecture behave of shiyan1 isbeginprocess(fin)begincase fin iswhen '1' => cout <= not cin;when '0' => cout <= cin;when others => null;end case;end process;end behave;4、在MAX 软件平台上完成编译和功能仿真。
一、信号端口为0时二、信号端口为1总结:经过上个实验后,对maxplu件有了一定了解,对于 VHDL也更加熟悉,首先构造真值表,画出逻辑电路图,然后编写程序生成仿真波形图。
在编写程序的时候也出现了一些错误,比如是将单个字符用双引号,结果编译通不过。
老是报错。
数字系统设计课程设计报告

《数字系统设计》课程设计报告多功能数字钟一:设计任务1.能正常计时。
显示模式分为24小时制和12小时制。
其中12小时制须显示上、下午。
“时”、“分”、“秒”都要显示。
2.具有快速校准时、分、秒的功能。
手动校准,用一个功能键选择校时、校分功能,用另一功能键调校对应时、分数值。
3.整点自动报时。
在离整点10s时,便自动发出鸣叫声,步长1s,每隔1s鸣叫一次,前四响是低音,最后一响为高音,最后一响结束为整点。
二:设计方案对于这个比较大型的系统,我认为应该将各个功能都设计为模块,而后再组合在一些,这样就会使设计思路清晰,同时也不容易出错,因此,我根据实验要求设计如下:1.数字钟顶层设计外部输入信号有(1)、1kHz时钟信号clk(由硬件分频得到),(2)、按键的行与列的输入信号col[3..0]与row[3..0](作为按键扫描用),外部输出有:(3)、整点报时信号braz(59分51/3/5/7秒时未500Hz低频声,59分59秒时为1kHz高频声)、(4)、显示信号led的位选weiH,weiL,hourH,hourL,minH,minL,secH,secL、(5)、显示信号的数据:mux_out[4..0](经过一译码器)2. 内部功能模块主要有:1、div1000的分频模块:作为时钟的秒计时,因为总输入信号是1000HZ,分频后,变为1HZ,时间下好为1S。
2、fz500Hz的分频模块:用作整点报时的低频输出信号。
3、day :用作对24小时制和12小时制模式的切换与判断,当为12小时制时,上午,最高二位显示10,下午时,最高两位显示01。
当为24小时制时,一直显示00。
count60:用于秒钟的计数,当计数到60时,输出一个脉冲给分钟计数模块。
同时将计数值转换为bcd译码器的输入4、mincount60:用于分钟的计数,当计数到60时,输出一个脉冲给小时计数模块同时用于分钟的调整加1。
同时将计数值转换为bcd译码器的输入5、count24: 用于小时的计数,同时用于小时的调整加1。
五邑大学—EDA密码锁课程设计报告

五邑大学基于FPGA的数字系统设计报告题目:密码锁院系信息学院专业电子信息工学号13071814学生姓名江建钊指导教师赵顺喜一、设计的要求和意义1、实验目的:1)训练从概念到产品的设计全过程;2)综合运用各种设计方法。
2、实验原理和要求:图9-1 密码锁输入输出信号示意图图 9-1 k0~k9为数字键盘,用拨码开关实现(以为实验板上只有4个轻触开关),当按下ki=1时,代表一个对应i的BCD码。
setup为密码设置开关,用拨码开关实现,start_set为开始密码设置键,用轻触开关实现,当setup为高电平且start_set按下时为密码设置状态。
Start为开始密码输入键,用轻触开关实现,当setup为低电平且start按下时为密码输入状态。
Open为密码输入完成按键,当open按下,如果密码输入错误,输出信号lock=0,led1=0,led2=1(警示灯),spk=1(报警);如果密码输入正确,输出信号lock=1,led1=1,led2=0(警示灯),spk=0(报警)。
I_setup为复位按键,用轻触开关实现,当I_setup按下,强制将输出信号清零。
3、设计任务与要求:1)设计符合上述功能的密码锁控制电路;2)可以用各种设计方法;3)进行仿真;4)把设计下载到实验箱并验证。
二、系统设计1、软件设计流程及描述:图9-1 程序设计流程图图9-1设计流程可以分为3部分:密码设置、密码输入、密码输入结果判断和复位。
它们都是并发执行的。
2、程序源代码module jjz1(lock,led1,spk,led2,setup,start,start_set,open,k,I_setup);input[9:0] k;input start,setup,start_set,open,I_setup;output reg lock,led1,spk,led2;reg in,in_set;reg[3:0] code,c,c1,c2,c3,out1,out2,out3;reg[2:0] state,state_set;//*****************密码设置**************//always @(setup)beginbegincase(k)10'b00_0000_0001:begin c<=4'h0;in_set<=1;end //密码按键扫描按键;10'b00_0000_0010:begin c<=4'h1;in_set<=1;end10'b00_0000_0100:begin c<=4'h2;in_set<=1;end10'b00_0000_1000:begin c<=4'h3;in_set<=1;end10'b00_0001_0000:begin c<=4'h4;in_set<=1;end10'b00_0010_0000:begin c<=4'h5;in_set<=1;end10'b00_0100_0000:begin c<=4'h6;in_set<=1;end10'b00_1000_0000:begin c<=4'h7;in_set<=1;end10'b01_0000_0000:begin c<=4'h8;in_set<=1;end10'b10_0000_0000:begin c<=4'h9;in_set<=1;enddefault: begin c<=4'ha;in_set<=0;endendcaseendendalways @( posedge start_set or posedge in_set)beginif(start_set ) //beginstate_set<=0;c1<=4'hb;c2<=4'hb;c3<=4'hb;endelsecase(state_set)0:begin c1<=c;state_set<=1;end1:begin c2<=c;state_set<=2;end2:begin c3<=c;state_set<=3;endendcaseend//***************************************////**************密码输入*****************//always @(!setup)begincase(k)10'b00_0000_0001:begin code<=4'h0;in<=1;end //按键扫描; 10'b00_0000_0010:begin code<=4'h1;in<=1;end10'b00_0000_0100:begin code<=4'h2;in<=1;end10'b00_0000_1000:begin code<=4'h3;in<=1;end10'b00_0001_0000:begin code<=4'h4;in<=1;end10'b00_0010_0000:begin code<=4'h5;in<=1;end10'b00_0100_0000:begin code<=4'h6;in<=1;end10'b00_1000_0000:begin code<=4'h7;in<=1;end10'b01_0000_0000:begin code<=4'h8;in<=1;end10'b10_0000_0000:begin code<=4'h9;in<=1;enddefault:begin code<=4'ha;in<=0;endendcaseendalways @(posedge start or posedge in)beginif(start)beginstate<=0;out1<=4'hb;out2<=4'hb;out3<=4'hb;endelsebegincase(state)0:begin out1<=code;state<=1;end1:begin out2<=code;state<=2;end2:begin out3<=code;state<=3;endendcaseendend//****************************************////*************密码输入结果判断和复位*********//always @(posedge I_setup or posedge open)beginif(I_setup)begin lock<=0;led1<=0;spk<=0;led2<=0;end // I_setup为高电平是复位;else //open高电平触发下面语句; beginif ((out1==c1)&&(out2==c2)&&(out3==c3)) //判断out1,out2,out3是否//与c1,c2,c3全相等?begin lock<=1;led1<=1;spk<=0;led2<=0;end //是则密码输入结果正确; elsebegin lock<=0;led1<=0;spk<=1;led2<=1;end //否则密码输入结果错误; endend//****************************************//endmodule3、仿真结果及分析1) 密码输入正确输出的仿真波形图图9-3密码输入正确及输出由图9-3可以看出K0~K9按键分别认为0~9。
五邑大学计算机组成原理课程设计报告

计算机组成原理课程设计2012~2013年度第2学期设计题目:模型机的设计与实现院系:计算机学院学号:姓名:指导教师:成绩评定:完成日期:2013年3月15日1.课程设计的目的通过课程设计更清楚地理解下列基本概念:1.1.计算机的硬件基本组成;1.2.计算机中机器指令的设计;1.3.计算机中机器指令的执行过程;1.4.微程序控制器的工作原理;1.5.微指令的格式设计原理;在此基础上设计可以运行一些基本机器指令的微程序的设计。
2.课程设计的内容根据所提供的模型机的硬件,设计6条或6条以上机器指令,编写相应的机器指令的格式并改写原来指令的微程序,使其可以运行所有的机器指令。
新增加的机器指令的功能是:加指令:ADD R1, R0: (R1)OR(R0)→(R0)非指令:NOT R0: (R0)NOT→(R0)其中RS,RD可以是R0 、R1、R2、R3中的任何一个。
并使用实验室提供的组成原理实验箱上设计一段可以执行这N条机器指令的微程序,并设计一段用所设计的机器指令编写的具有一定实用功能的机器指令程序,在实验箱上运行以检验所设计的微程序的正确性。
3.设计的方法及过程微程序流程图:NOPPC->ARPC加1MEM->IRP<1>R0->A R1->B A加B->R0R0取反->RO 010330ADD300405 010128 IN->R001R0->OUT01NOP01PC->ARPC加1MEM->PC01IN32OUT33HLT35JMP3C1DNOT系统设计的微指令表:地址十六进制表示高五位S3-S0 A字段B字段C字段UA5-UA000 00 00 01 00000 0000 000 000 000 00000101 00 6D 43 00000 0000 110 110 101 00001103 10 70 70 00010 0000 111 000 001 11000004 00 24 05 00000 0000 010 010 000 00010105 04 B2 01 00000 1001 011 001 000 000001 1D 10 51 41 00010 0000 101 000 101 000001 30 00 16 04 00000 0000 001 011 000 00010032 18 30 01 00011 0000 011 000 000 00000133 28 04 01 00101 0000 000 010 000 000001 35 00 00 35 00000 0000 000 000 000 110101 3C 00 6D 5D 00000 0000 110 110 101 011101 3B 00 16 28 00000 0000 001 011 000 101000 28 02 32 01 00000 0100 011 001 000 000000机器指令代码:地址内容助记符说明00000000 00100000 START:IN R0 从IN单元读入数据送R0 00000001 00100001 START:IN R1 从IN单元读入数据送R1 00000010 00000100 ADD R1,R0 R0与R1相加,结果送R0 00000011 00110000 OUT R0 R0的值送OUT单元显示00000100 10110000 NOT R0 对R0取反,结果送R0 00000101 00110000 OUT RO R0的值送OUT单元显示00000110 11000000 JMP START 跳转至00H地址00000111 0000000000001000 01010000 HLT 停机实际运行结果:输入值OUT单元首先显示数值(十六进制)(即两数相加之和)OUT单元最后显示数值(十六进制)(即相加之和取反)R0 0000000103 FCR1 00000010R0 0100000184 7BR1 010000114.心得体会在此次课程设计过程中锻炼到的就是独立分析问题的能力,在上学期所学的知识下,进行进一步综合及加深理解,当时所学的计算机组成基本原理的理论知识应用与实践中,通过实际的操作对计算机各部件的组成和工作原理的理解,了解了微程序计算机中指令和微指令的编码方法和指令在计算机中的运行,进一步激发了对计算机的兴趣。
数字系统设计实践实验报告

实验项目四信号存储与回放实验报告吴衡106040363王皓106040026目录摘要和关键词 (2)一.设计任务与要求 (2)1.1 设计任务 (2)1.2 技术指标 (2)1.3 题目评析 (2)二.实验方案 (2)2.1方案流程图 (2)2.2方案解析 (3)三.系统硬件设计 (3)3.1 系统的总体设计(设计思想、设计步骤),系统的计算。
(3)3.2 单元电路(或称功能模块)的设计,单元的参数计算。
(4)3.3 单元电路的功能以及工作原理的分析(单元具体电路图)。
(4)3.3.1直通回路模块: (4)3.3.2存储第一个数据的存储器模块: (5)3.3.3 DPCM模块: (6)3.3.4解码器模块: (6)3.3.5单次播放控制器: (7)3.3.6主控片段与RAM模块: (7)四.代码算法解析 (8)4.1存储第一个数据的存储器模块代码(cunchu.vhdl) (8)代码解析: (8)4.2DPCM模块代码:(zhuanhuan.vhdl) (8)代码解析: (9)4.3解码器模块代码:(shuzhi.vhdl) (9)代码解析: (9)4.4单次播放控制器代码:(kongzhi2.vhdl) (10)代码解析: (10)4.5主控片段模块代码:(kongzhi.vhdl) (10)代码解析: (11)五.系统测试 (11)5.1 RAM测试: (11)5.2 各模块测试: (11)5.3 示波器输出: (12)六.附录 (12)6.1 存储第一个数据的存储器模块代码:(cunchu.vhdl) (12)6.2 DPCM模块代码:(zhuanhuan.vhdl) (13)6.3 解码器模块代码:(shuzhi.vhdl) (13)6.4 单次播放控制器代码:(kongzhi2.vhdl) (14)6.5 主控片段模块代码:(kongzhi.vhdl) (14)6.6 电路总实验图: (16)七.实验声明 (16)摘要和关键词:信号存储、回放,ADC、DPCM、信号、解码一.设计任务与要求1.1 设计任务设计并制作一个数字化信号存储与回放系统。
五邑大学毕业论文(设计)格式

各位毕业生:毕业设计论文说明书的要求如下,请参照执行。
电类专业:学生要独立完成工程(或科研)项目中的全部或相对独立的局部设计、安装、调试工作,要有完整的系统电气原理图或电气控制系统图。
论文字数应在15 000字左右。
论文正文部分一般包括:任务的提出,方案论证或文献综述,设计与计算(可分为总体设计和单元设计几部分),实验(模拟实验或仿真实验)调试及结果分析,结论等内容。
理论研究型: 选题必须有一定实际意义,字数在15 000字左右。
有创新的论文,字数不受限制。
学生在答辩前应在教师的指导下撰写出一篇与毕业设计有关的学术论文。
论文正文包括选题的目的、意义,国内外的研究综述,问题的提出和分析,研究工作方案,进行建模、仿真和设计计算,结论等。
毕业论文的撰写:毕业论文的撰写应严格按照毕业论文撰写规范的要求执行。
撰写论文时,应严格区分引用的部分和学生本人论述、分析与计算的部分。
凡是引用他人的论述、分析、解析式、图、表、数据、计算结果等等,必须加以明显的注释,不得将二者混淆书写。
为全面训练学生的工程实践能力和综合业务素质,对工程设计型、科学实验型论文,必须有经济性论证、成本估算或环保分析、资源分析等方面的论述,并列入结论之前的某一章或某一节。
论文主体各章后应有一节“本章小结”。
论文结构如下:1. 摘要摘 要( 小三号字)一般为300字左右,外文摘要应与中文摘要内容相同,在语法、用第1页 词和书写上应正确无误,摘要页勿需写出论文题目。
中、外文摘要应各占一页,编排上中文在前,外文在后。
( 小四号字)关键词:( 小三号字)第2页英文摘要:英文关键词摘要和关键词要求如下:1)摘要:中文摘要要求300字左右。
摘要应扼要叙述本论文的主要内容、特点,文字要精炼,是一篇具有独立性和完整性的短文,应包括本论文的主要成果和结论性意见。
摘要内容应简明扼要,不要出现"本文"、“我们”、“作者”等字。
按结构式摘要四要素格式撰写:即目的(Objective)、方法(Methods)、结果(Results)、结论(Conclusions),方法与结果部分应有必要的数据。
数字系统设计实验报告

数字系统设计实验共八个实验报告课程:数字系统设计班级:08电52姓名:马帼英实验日期:2011/4/11~2011/4/30目录1实验一基本组合逻辑电路设计实验 (3)2实验二加法器设计 (4)3实验三译码器设计 (6)4实验四计数器设计 (8)5实验五阻塞与非阻塞区别验证 (10)6实验六累加器设计 (12)7实验七数码管扫描电路设计 (14)8实验八数字频率计设计 (17)实验一实验名称:基本组合逻辑电路设计实验第1 组同组人:刘秀秀,马帼英一、实验目的:熟悉MAX+plus 软件的使用二、实验电路:三、波形图:实验二实验名称:加法器设计第1 组同组人:刘秀秀,马帼英一、实验目的:(1)复习加法器的分类及工作原理;(2)掌握用图形法设计半加器的方法;(3)掌握用元件例化法设计全加器的方法;(4)掌握用元件例化法设计多位加法器的方法;(5)掌握用Verilog HDL语言设计多位加法器的方法;(6)学习运用波形仿真验证程序的正确性;学习定时分析工具的使用方法。
二、实验电路:三、实验步骤:1)首先在原理图输入方式下设计出1位的半加器,并进行仿真验证;2)在原理图输入方式下采用调用半加器元件的方式设计出1位的全加器,并进行仿真验证;3)下载全加器电路,并进行在线测试。
四、实验结果分析、体会:实验过程中需注意的几点:1)保存所设计的原理图文件时,注意后缀名为.gdf;2)编程下载前一定要进行时序编译;3)分配引脚时要注意输入输出端口编号一定要与实验箱上的输入输出端口引脚号相对应。
五、思考题:1时序仿真波形图上出现了什么现象?其产生的原因是什么呢?如何进行消除?答:在组合逻辑中,由于多少输入信号变化先后不同、信号传输的路径不同,或是各种器件延迟时间不同(这种现象称为竞争)都有可能造成输出波形产生不应有的尖脉冲(俗称毛刺),这种现象成为冒险。
解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。
2请比较分析用元件例化法与语言进行设计的4位加法器的定时分析结果。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
五邑大学
基于FPGA的数字系统设计报告题目:
院系
专业
学号
学生姓名
指导教师
报告题目(三号宋字,粗体)
1.题目的要求和意义(四号宋字,粗体)
(小四号宋字)对系统设计内容做综述,介绍系统设计的目的和要求等内容。
………..
…………
2.系统设计(四号宋字,粗体)
(小四号宋字)所有的数学表达式必须有编号,所有的图必须有图号和图题,所有的表格必须有表名和编号。
给出顶层原理框图。
3.软件设计流程及描述;(重点内容)
4.源程序代码(要有注释);(重点内容)
给出仿真结果及分析。
5.调试过程遇到的问题与解决的方法;(重点内容)
给出最后通电测试结果及分析。
6、系统设计体会(四号宋字,粗体)
(小四号宋字)
结合你的设计可就问题“原理图输入设计方便还是Verilog HDL输入设计方便?”谈谈你的体会。
…………
7、参考文献(四号宋字,粗体)
(小四号宋字)列出在完成设计的主要参考文献和站点。
………..
…………
说明
一、报告应包括下列主要内容:
1、概述所作题目的要求和意义、本人所做的工作及系统的主要功能;
2、系统设计及描述;
3、软件设计流程及描述;(重点内容)
4、源程序代码(要有注释);(重点内容)
5、调试过程遇到的问题与解决的方法。
(重点内容)
6、系统设计体会
7、主要参考文献
二、对报告的要求:
1.报告的字数应在3000字左右;
2.页面设置:上下左右页边距分别为2.5cm、2.0cm、2.5cm、2.0cm
3.正文字体:宋体,小四号字,行间距18磅
4.参考文献要求如下:
论文参考文献类型标识:M-专著(书);C-论文集;J-期刊文章;D-学位论文;
R-报告;S-标准;P-专利;并放在“论文标题”与“刊名(书名)”之间。
文献的标题,作者(仅需给出3位),年、卷、期、起始页码均需给全。
参考文献不少于3篇,并在文中备注清楚.
例如:
[1] 蔡菲娜,刘勤贤,朱根兴等. 数据融合方法在单传感器系统中的应用[J]. 数据采集与处理. 2005,3(1):88~90
[2] 郑少仁,王海涛,赵志峰等. Ad Hoc网络技术[M].北京:人民邮电出版社,2005
[3] 金纯,许光辰,孙睿. 蓝牙技术[M]. 北京:电子工业出版社,2001
[4] 张海滨,宋文涛. 无线通信发展与“高频电子线路”课程教学[J]. 电气电子教学学报. 2004,26(2):23~26。