数显式脉搏测试仪

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

****大学

电子课程设计

———数显式脉搏测

试仪

学院:

专业、班级:

姓名:

学号:

指导老师:

2014年12月

电子实习目录

一实习设计目得、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、3

二设计引言以及设计概述…………………………………………………………

1引言2概述3目得4意义5要求6内容7要解决得主要问题

三设计方案得论证(理论依据与多种方案得分析比较) (4)

四设计画出总得原理框图,简述工作原理 (7)

五设计各单元模块,阐述工作原理 (8)

(参数计算选择、元件功能、芯片引脚功能、线路连接、工作原理、验证过程)

1 传感器…………………………………………………………………………8

2放大与整形……………………………………………………………………9

3 倍频电路………………………………………………………………………1

4 定时电路 (1)

5 计数译码显示…………………………………………………………………12

六调试各单元模块(调试原理与调试方法) (15)

1放大电路测试 (1)

2倍频电路测试 (16)

3、定时电路测试…………………………………………………………………17

4、电路整体性能测试 (18)

七绘制总原理图,详细阐述工作原理 (18)

八心得体会…………………………………………………………………………22

九参考文献 (22)

一、实习设计目得

实习就是对学生运用所学专业理论知识与实践操作技能得一次检验,使学生得到一次全面、系统得实践训练,以巩固所学得理论知识,加强实际操作、独立工作与解决实际问题得能力.同时,培养严谨求实、团结协作、吃苦耐劳、遵守纪律得良好作风,通过设计实习可达到以下目得:

(1)加深对所学理论知识得理解,更熟练掌握基本理论,且将理论与实际相结合。

(2)学会基本得设计方法,能灵活运用所学理论知识进行设计,为今后得毕业设计打下良好得基础。

(3)对所设计得电路进行实际电路验证,学会基本得调试电路得方法,

二设计引言以及设计概述

(1)引言

人体脉搏计得设计就是基于传感器,放大电路,显示电路等基础电路得基础上,实现对人体脉搏得精确测量。其设计初衷就是适用于各年龄阶段得人群,方便快捷得测量脉搏次数,并用十进制数显示出来。具体得各部分电路接下来将介绍。随着时代得发展,人类进入了信息化电子时代,传感器技术作为现代技术得主要内容将有较大得发展。信息技术包括技术、通信技术与传感器技术。现代人类社

会已经进入信息时代,因而信息技术对社会发展,科学进步将起到决定性作用。现代信息技术得基础就是信息采集、信息传输与信息处理,她们就就是传感器技术、通信技术与计算机技术.传统得脉搏测量用手工测量,通常将指尖轻压动脉向较坚实得面,以使脉搏得感觉传到指尖,如果将动脉压上软得组织,则脉动波会被吸收或抵消,使指尖不易触觉脉动;指尖压在动脉上得力量要适中,用力太重将阻断血流,反而无脉搏产生。这种手工方法虽然简单易行,但容易产生误差,特别就是临床住院病人常规得监测上,这种手工测脉搏得方法不仅影响工作效率,并且不能连续监测,无法实时观察.我们设计得数字脉搏计就是一种自动测量人体脉搏得仪器,能直观地显示人体每分钟脉搏数,可连续、动态监量,价格便宜,适于普及推广。本此课设设计了一款基于压电传感器得电子脉搏计,实现在30s 内测量人得脉搏跳动次数,并且将脉搏次数显示出来.该传感器可与电子电路相结合,将脉搏信号转化为模拟电信号,并利用滤波技术等信号处理方法准确得测量人体微弱得脉搏信号,而且可以进一步实现显示记录功能。

(2)概述

随着人们生活水平得提高,心脏疾病得发病率呈上升趋势,已成为威胁人类身体健康得杀手之一,因为心脏病得发作具有突发性与随机性,所以为患者进行实时得测量监控已成为必然得趋势。随着电子科技得不断发展,生命科学与信息科学得结合越来越紧密,许多研究人员都投身于人类得健康事业中.心率:用来描述心动周期得专业术语,就是指心脏每分钟跳动次数,已第一声为准,心电信号就是一种非常弱且频率较低得一种信号,一般幅值在0、05到5毫伏之间,频率在0、05到100HZ之间,脉搏波:人体心室周期得收缩与舒张,导致主动脉得收缩与舒张,就是血流压力---波得形式从主动脉根部开始沿着动脉系统传播,这种波称为脉搏波.脉搏波所呈现得形态强度,速率与节律等方面得综合信息,反应出许多生理得血流特征,而心率得测量就是一种评价人生理状况很好得方法。心率与脉搏在身体正常得时候就是相等得。在房颤等心脏疾病得时候可出现不等.因此心率测量问题可以转化为脉搏得测量,而脉搏得测量更容易实现特点。在实际应用中得到广泛应用。脉搏计在实际应用中非常广泛,她就是用来测量一个人得心脏跳动次数得电子仪器,也就是心电图得主要组成部分,用来测量频率较低得小信号.其原理适用于很多声控器械,它涉及到时序逻辑电路如何设计分析与工作等方面。通过使电路更深刻得了解时序逻辑部件得工作原理,从而掌握如何根据需求设计满足要求得各种电路图,解决生活中得实际问题,将所学得知识应用于实践。

(3)实习目得

加深对所学理论知识得理解,更熟练掌握基本理论,且将理论与实际相结合.学会基本得设计方法,能灵活运用所学理论知识进行设计,为今后得毕业设计打下良好得基础。对所设计得电路进行实际电路验证,学会基本得调试电路得方法与熟悉焊接技术。熟悉脉搏计电路得组成,工作原理与设计方法。掌握多谐振荡器、倍频器、计数器、译码器等工作得原理与使用方法等得特点、用途以及主要参数得计算方法.熟悉集成电路芯片74LS00、74LS160、晶闸管、有源滤波等电路得特点以及主要参数得选择方法。

(4)意义

培养理论联系实际得正确设计思想,训练综合运用已经学过得理论与生产实际知识去分析与解决工程实际问题得能力。培养学生得创新能力。

(5)要求

相关文档
最新文档