东南大学信息学院计算结构POC实验报告

POC实验报告

目录

目录 .............................................................................................................................................. 1-1

1 实验目的............................................................................................................................... 1-1

2 实验任务............................................................................................................................... 2-1

3 架构说明............................................................................................................................... 3-2

4 仿真信号设计与结果分析................................................................................................... 4-3

4.1 打印机模块............................................................................................................... 4-3

4.1.1 仿真信号说明与设计................................................................................... 4-3

4.1.2 仿真结果与分析........................................................................................... 4-3

4.2 POC模块.................................................................................................................. 4-3

4.2.1 仿真信号说明与设计................................................................................... 4-3

4.2.2 仿真结果与分析........................................................................................... 4-4

4.3 整体模块................................................................................................................... 4-4

5 总结与补充........................................................................................................................... 5-4

5.1 查询模式................................................................................................................... 5-5

5.2 中断模式................................................................................................................... 5-5

6 附录....................................................................................................................................... 6-6 1实验目的

本实验的目的是设计一块简易的POC(并行输出控制器),从而连接系统总线和打印机。通过本次实验,可以初步了解输入输出、存储模块的设计,为接下来CPU的设计奠定良好的基础。

2实验任务

利用ISE和VHDL语言设计出POC模块和打印机模块,并且通过仿真测试并验证其主要功能的实现。

仿真主要以中断响应工作模式为主。而查询模式也需要了解,这部分分析内容都将放在总结环节。

3架构说明

整体由POC、Printer两个模块组成,整体的输入端口有:CS:片选,实际情况为地址总线13

A:寄存器选取;

D_bus:数据总线;

CLK:时间信号;

R_W:读取/写入控制,0为读取,1为写入;

输出端口为:

IRQ:中断请求信号,低电平有效;

CNT:计数器,用于模拟打印机的忙碌情况。

4仿真信号设计与结果分析

4.1 打印机模块

4.1.1仿真信号说明与设计

RDY:输出信号,当Printer处于空闲状态时为1,工作状态为0;

TR:输入信号,表示准备传输数据,设置为保持一个时钟周期;

PD:输入信号,表示当前准备打印的数据;

CLK:时钟信号,虽然设计图上没有,但为了模拟打印机工作采用了计数器,相应的也暂时引入了时钟信号。

CNT:输出信号,用以模拟打印机的过程,输出用以更直观的表示。

4.1.2仿真结果与分析

①初始状态时打印机显然不处于工作状态,因而RDY信号保持为1;

②当PD数据填充完毕后,TR脉冲信号到来;

③TR脉冲上升沿到来的同时,RDY信号置为0,表示正在忙碌;

④此时打印机开始打印,表示为cnt计数,当计数完毕后RDY信号重新为1。

4.2 POC模块

4.2.1仿真信号说明与设计

RDY:输入信号,用以判断打印机是否空闲

CS:输入信号,表示片选,实际使用时为CPU是否选中该芯片(用前13根地址线)A:输入信号,地址线的后3位,表示实际选择哪个寄存器,本次设计001为选取数据寄存器,010为选取状态寄存器。

CLK:时钟信号。

CNT:输出信号,用以模拟打印机的过程,输出用以更直观的表示。

4.2.2仿真结果与分析

①初始状态时,POC未被选中,打印机处于空闲状态,因此SR7被置为1,又由于默

认工作在中断方式,因此在时钟上升沿之时便发出IRQ中断请求(低电平);

②发送请求后,CPU选中芯片(即前13地址线选中CS),将数据总线的数据准备好,

之后选中数据寄存器(A为001),并将POC的读写状态设置为写;

③经过一个时钟周期后tr脉冲输出表示数据准备传送,并且数据输出口准备好数据,

打印机也回到忙碌状态。

4.3 整体模块

输入信号和POC模块大致相同,因此直接进行结果分析。

首先,IRQ信号产生,CPU选中POC芯片,随后选中数据寄存器并写入数据,之后经过几个时钟后,打印机开始工作,计数器开始计数。而CPU又去处理其他的工作(中断工作模式的体现)。

当打印机打印结束时,IRQ信号再度发出,等待CPU响应与再度传送数据。由于CPU 当前也许正在进行不可中断的原子性操作,所以等待响应后再传送数据、再度打印是必要的。

由此仿真了整个工作流程。由于更复杂的仿真过程需要CPU的设计与介入,在此无法展示,我将在下文做相关文字补充。

5总结与补充

通过仿真,我们对POC的工作方式和细节有了进一步的了解。然而,由于本次实验没有对CPU的特殊要求和说明,导致无法通过仿真的方式清晰的体现出中断方式和查询方式的具体区别。所有本应CPU输出、处理、反馈的信号都由人手工输入代替。因此,下面将对两种工作模式结合CPU作进一步阐述与补充。

5.1 查询模式

首先,CPU收到有关程序的请求,准备通过I/O接口联系POC模块,试图打印有关内容。CPU访问POC的相关状态寄存器(SR7),查看POC目前是否处于空闲状态。如果是,那就准备打印,否则,CPU处于轮询的状态。该进程内的每一个指令周期,CPU都在查询POC是否空闲,并不做其他的事情,直到POC处于空闲,并允许传输数据为止。

当CPU了解POC已准备就绪时,CPU便将有关内容分块传输到POC的数据缓存中。由于实际文件数据量较大,CPU将持续处于“轮询—传输—轮询—传输”直到文件打印完毕为之。

对于已经传输到POC的数据段,POC并不能立刻将其提交给打印机,因为当前打印机可能处于忙碌状态。当打印机用完当前数据(可能仅为一行)后,RDY信号为高电平,POC 再将本段数据提交给打印机继续操作。

通过POC的TR信号和PD数据输出传送给打印机,再通过RDY信号判断当前打印机是否用完目前的数据。如果用完了,就将SR7置为空闲状态,以方便CPU提供新数据,否则SR7处于忙碌状态。

至此,整个查询模式的工作方式描述完毕。

5.2 中断模式

首先,CPU在某个时间点将POC的SR0置为1,即命令POC工作在中断模式。之后的前小部分和查询模式相同,CPU收到有关程序的请求,准备通过I/O接口联系POC模块,试图打印有关内容。CPU访问POC的相关状态寄存器(SR7),查看POC目前是否处于空闲状态,如果是,那就准备打印。

如果POC忙碌,CPU则继续忙其他的工作。由于POC事先已被CPU设置为中断模式,一旦POC处于空闲态,则会向CPU发出中断请求。此时,CPU会通过查中断向量表得知,是POC模块发出的请求,处理完当前的原子性操作或更高级的中断请求后,则响应POC中断,选中POC芯片进行有关操作。

由于实际文件数据量较大,CPU仍然会分块传输数据。此时CPU传完数据后继续忙其他工作,直到下一个中断来临。整个工作将持续处于“中断—传输—中断—传输”直到文件打印完毕为之。

具体的POC处理和前文类似,不再多言。

最后需要额外指出的是,对于多文档打印,打印队列由更高一层的操作系统控制,无关物理底层的实现,因此可不用在此讨论。

5.3 结论

本项仿真设计完成了任务的基本要求,实现了POC和Printer的设计,并且通过了测试,达到了预期的效果。

6附录

/***************top.vhd****************/

----------------------------------------------------------------------------------

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

entity top is

Port ( CS : in STD_LOGIC;

A : in STD_LOGIC_VECTOR (2 downto 0);

D_bus : in STD_LOGIC_VECTOR (7 downto 0):="00000000";

R_W : in STD_LOGIC;

CLK : in STD_LOGIC;

IRQ : out STD_LOGIC:='1';

CNT: out STD_LOGIC_VECTOR (3 downto 0) );

end top;

architecture Behavioral of top is

component poc

Port (

CS : in STD_LOGIC;

A : in STD_LOGIC_VECTOR (2 downto 0);

D_bus : in STD_LOGIC_VECTOR (7 downto 0):="00000000";

R_W : in STD_LOGIC;

CLK : in STD_LOGIC;

IRQ : out STD_LOGIC:='1';

D_out : out STD_LOGIC_VECTOR (7 downto 0);

TR : out STD_LOGIC;

RDY : in STD_LOGIC;

SR_Test : out STD_LOGIC_VECTOR(7 downto 0));

end component ;

component printer

Port ( RDY : out STD_LOGIC;

TR : in STD_LOGIC;

PD : in STD_LOGIC_VECTOR (7 downto 0);

CNT: out STD_LOGIC_VECTOR (3 downto 0) ;

CLK : in STD_LOGIC);

end component ;

signal m_D_out:STD_LOGIC_VECTOR (7 downto 0);

signal m_TR:STD_LOGIC;

signal m_RDY:STD_LOGIC;

begin

poc_inst :poc port map(

CS=>CS,

A=>A,

D_bus=>D_bus,

R_W=>R_W,

CLK =>CLK ,

IRQ =>IRQ,

D_out=>m_D_out,

TR =>m_TR,

RDY =>m_RDY

);

printer_inst :printer port map(

RDY=>m_RDY,

TR =>m_TR,

PD=>m_D_out,

CNT=>CNT,

CLK =>CLK

);

end Behavioral;

/***************top.vhd结束****************/

/***************poc.vhd****************/

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

entity poc is

Port ( CS : in STD_LOGIC;

A : in STD_LOGIC_VECTOR (2 downto 0);

D_bus : in STD_LOGIC_VECTOR (7 downto 0):="00000000";

R_W : in STD_LOGIC;

CLK : in STD_LOGIC;

IRQ : out STD_LOGIC:='1';

D_out : out STD_LOGIC_VECTOR (7 downto 0);

TR : out STD_LOGIC;

RDY : in STD_LOGIC;

SR_Test : out STD_LOGIC_VECTOR(7 downto 0));

end poc;

architecture Behavioral of poc is

signal SR: STD_LOGIC_VECTOR (7 downto 0) := "00000001"; --默认中断工作状态signal BR: STD_LOGIC_VECTOR (7 downto 0) := "00000000";

signal DataInReady:STD_LOGIC :='0';

signal m_work:STD_LOGIC :='0';

signal m_cnt:STD_LOGIC :='0';

signal m_hasRDY:STD_LOGIC :='0';

begin

SR_Test<=SR;

process(SR,CS)

begin

--if(CS='1') then

-- if(rising_edge(CLK)) then

if(SR(0)='1' and SR(7)='1') then

IRQ<='0'; --IRQ低电平表示中断

else

IRQ<='1';

end if;

-- end if;

--end if;

end process;

process(RDY,m_hasRDY)

begin

end process;

process(R_W,D_bus,CS,SR,m_cnt,m_work,m_hasRDY,RDY,CLK,DataInReady,BR) begin

if(rising_edge(RDY)) then

m_hasRDY<='1';

end if;

if(rising_edge(CLK)) then

-- if(CS='1') then

if(m_hasRDY='1') then

m_hasRDY<='0';

SR(7)<='1';

m_cnt<='0';

m_work<='1';

end if;

if(m_work='1') then

if(m_cnt='0') then

m_cnt<='1';

else

SR(7)<='0';

end if;

if(CS='1') then

if(R_W='1') then --1为写数据

if(A="001") then --001为BR

BR<=D_bus;

DataInReady<='1';

m_work<='0';

elsif (A="010") then

SR<=D_bus;

end if;

else

-- if(A="001") then --010为SR -- D_bus<=BR;

-- elsif (A="010") then

-- D_bus<=SR;

-- end if;

end if;

end if;

end if;

-- if(rising_edge(CLK)) then

if(DataInReady='1') then

DataInReady<='0';

TR<='1';

D_out<=BR;

else

TR<='0';

end if;

-- end if;

end if;

end process;

end Behavioral;

/***************poc.vhd结束****************/

/***************printer.vhd****************/

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity printer is

Port ( RDY : out STD_LOGIC:='0';

TR : in STD_LOGIC;

PD : in STD_LOGIC_VECTOR (7 downto 0);

CNT: out STD_LOGIC_VECTOR (3 downto 0) ;

CLK : in STD_LOGIC);

end printer;

architecture Behavioral of printer is

signal m_cnt: STD_LOGIC_VECTOR (3 downto 0) := "0000"; signal bWork:STD_LOGIC :='0';

begin

RDY<=not bWork;

CNT<=m_cnt;

process(CLK,TR,bWork,m_cnt)

begin

if(rising_edge(TR)) then

bWork<='1';

end if;

if(bWork='1') then

if(rising_edge(CLK)) then

if(m_cnt="1111") then

bWork<='0';

m_cnt<="0000";

else

m_cnt<=m_cnt + 1;

end if;

end if;

end if;

end process;

end Behavioral;

/***************printer.vhd结束****************/

6-11

东南大学信息学院通信电子线路实验实验报告

3.1 常用仪器的使用 04012540 印友进 一、实验内容 1、说明频谱仪的主要工作原理,示波器测量精度与示波器带宽、与被测信号频率之间关系。 答: (1)频谱仪结构框图为: 频谱仪的主要工作原理: ①对信号进行时域的采集,对其进行傅里叶变换,将其转换成频域信号。这种方法对于AD 要求很高,但还是难以分析高频信号。 ②通过直接接收,称为超外差接收直接扫描调谐分析仪。即:信号通过混频器与本振混频后得到中频,采用固定中频的办法,并使本振在信号可能的频谱范围内变化。得到中频后进行滤波和检波,就可以获取信号中某一频率分量的大小(帕斯瓦尔定理)。 (2)示波器的测量精度与示波器带宽、被测信号频率之间的关系: 示波器的带宽越宽,在通带内的衰减就越缓慢; 示波器带宽越宽,被测信号频率离示波器通带截止频率点就越远,则测得的数据精度约高。 2、画出示波器测量电源上电时间示意图,说明示波器可以捕获电源上电上升时间的工作原理。 答: 上电时间示意图: 工作原理: 捕获这个过程需要示波器采样周期小于过渡时间。示波器探头与电源相连,使示波器工作于“正常”触发方式,接通电源后,便有电信号进入示波器,由于示波器为“正常”触发方式,所以在屏幕上会显示出电势波形;并且当上电完成后,由于没有触发信号,示波器将不再显示此信号。这样,就可以利用游标读出电源上电的上升时间。

3、简要说明在FM 调制过程中,调制信号的幅度与频率信息是如何加到FM 波中的? 答: 载波的瞬时角频率为()()c f t k u t ωωΩ=+,(其中f k 为与电路有关的调频比例常数) 已调的瞬时相角为000t ()()t t c f t dt t k u t dt θωωθΩ=++⎰⎰()= 所以FM 已调波的表达式为:000()cos[()]t om c f u t U t k u t dt ωθΩ=++⎰ 当()cos m u t U t ΩΩ=Ω时,00()cos[sin ]om c f u t U t M t ωθ=+Ω+ 其中f M 为调制指数其值与调制信号的幅度m U Ω成正比,与调制信号的角频率Ω反比,即m f f U M k Ω=Ω 。这样,调制信号的幅度与频率信息是已加到 FM 波中。 4、对于单音调制信号,分别采用AM 与FM 调制方式,信号所占的带宽如何计算,并与频谱仪测试结果进行比较说明。 答: (1)AM 波的带宽公式: (2)FM 波的带宽公式: 可以观察到FM 占用的带宽远大于AM 。这一点与从频谱仪上观察的结果一致。 3.2 正弦波压控振荡器 04012540 印友进 一、实验内容 1. 将拨动开关 JP13 置于 1~2 之间,接通“正弦波压控振荡器与调频信号的产生电路”的直流电压; 2. 用数字万用表测量P21 点的直流电压,调节电位器W4,使该点电压为- 3.5V ; 3. 分别用示波器和频谱仪观察 P24 点的波形,调节电位器 W5,观察输出波形频

东南大学信息学院计算结构POC实验报告

POC实验报告 目录 目录 .............................................................................................................................................. 1-1 1 实验目的............................................................................................................................... 1-1 2 实验任务............................................................................................................................... 2-1 3 架构说明............................................................................................................................... 3-2 4 仿真信号设计与结果分析................................................................................................... 4-3 4.1 打印机模块............................................................................................................... 4-3 4.1.1 仿真信号说明与设计................................................................................... 4-3 4.1.2 仿真结果与分析........................................................................................... 4-3 4.2 POC模块.................................................................................................................. 4-3 4.2.1 仿真信号说明与设计................................................................................... 4-3 4.2.2 仿真结果与分析........................................................................................... 4-4 4.3 整体模块................................................................................................................... 4-4 5 总结与补充........................................................................................................................... 5-4 5.1 查询模式................................................................................................................... 5-5 5.2 中断模式................................................................................................................... 5-5 6 附录....................................................................................................................................... 6-6 1实验目的 本实验的目的是设计一块简易的POC(并行输出控制器),从而连接系统总线和打印机。通过本次实验,可以初步了解输入输出、存储模块的设计,为接下来CPU的设计奠定良好的基础。 2实验任务 利用ISE和VHDL语言设计出POC模块和打印机模块,并且通过仿真测试并验证其主要功能的实现。 仿真主要以中断响应工作模式为主。而查询模式也需要了解,这部分分析内容都将放在总结环节。

PLC实验报告

东南大学成贤学院 《PLC技术及应用》 课内实验报告 专业电气工程及其自动化 班级12电力1班 学生姓名 学号 指导教师 实习地点电工电子实习中心403 实习时间:2014年 11月28日和 12月12日

实验一基本指令的编程练习 一、实验目的 1.熟悉PLC实验装置及实验箱,S7-200系列编程控制器的外部接线方法 2.了解编程软件的编程环境,软件的使用方法。 3.掌握与、或、非逻辑功能,定时器、计数器、加减乘除、移位、转换、脉冲等基本指令的编程方法。 4.掌握编程器的键盘操作 5.学会程序的输入和指令的增删 6.加深对常用指令的理解 二、实验要求 首先研究指令系统的基本操作方法,然后进行PLC的练习实验,通过程序输入、调试、运行,逐步掌握PLC实验的基本方法。用S7-200系列编程控制器完成基本指令的编程方法。 三、实验内容 1.梯形图参考程序 梯形图中的I0.1、I0.3分别对应控制实验单元输入开关I0.1、I0.3。 通过专用PC/PPI电缆连接计算机与PLC主机。打开编程软件STEP7,逐条输入程序,检查无误后,将所编程序下载到主机内,并将可编程控制器主机上的STOP/RUN开关拨到RUN 位置,运行指示灯点亮,表明程序开始运行,有关的指示灯将显示运行结果。 拨动输入开关I0.1、I0.3,观察输出指示灯.Q0.1、Q0.2、Q0.3、Q0.4是否符合与、或、非逻辑的正确结果。 实验现象:I0.1、I0.3都接通,Q0.1、Q0.2、Q0.4亮;I0.1、I0.3都断开,Q0.3亮;I0.1

接通、I0.3断开,Q0.2、Q0.4亮;I0.1断开、I0.3接通,Q0.2、Q0.4亮。 2.定时器 (1)接通延时定时器 实验现象:打开I0.1,延时1S,Q0.0亮。 (2)断开延时定时器 实验现象:打开I0.0,Q0.0亮;断开I0.0,延时1S,Q0.0灭。 3.定时器的扩展 实验现象:打开I0.1,Q0.0延时8S亮;断开I0.1,Q0.0灭。 4.计数器 (1)递增计数器

计算机科学基础课程教学大纲-东南大学信息科学与工程学院

目录 《计算机科学基础》教学大纲 (3) 《电路基础》教学大纲 (7) 《计算机结构与逻辑设计》教学大纲 (10) 《信号与线性系统》教学大纲 (13) 《电子电路基础》教学大纲 (16) 《微机系统与接口》教学大纲 (18) 《电磁场与波》课程教学大纲 (21) 《信息通信网络概论》教学大纲 (25) 《计算机组织与结构》教学大纲 (29) 《数字信号处理》教学大纲 (32) 《通信原理》教学大纲 (36) 《通信电子线路》教学大纲 (38) 《微波工程》教学大纲 (42) 《数字通信》教学大纲 (44) 《专用集成电路设计》教学大纲 (46) 《统计信号处理》课程教学大纲 (49) 《微波器件与电路》教学大纲 (52) 《微波器件原理与芯片设计方法》教学大纲 (54) 《通信网》课程教学大纲 (57) 《移动通信》教学大纲 (60) 《交换技术》教学大纲 (63) 《数据压缩》教学大纲 (65) 《数字图象处理》教学大纲 (68) 《语音信号处理》教学大纲 (70) 《射频技术》教学大纲 (73) 《天线技术》课程教学大纲 (76) 《电磁兼容》教学大纲 (78) 《集成电路设计》教学大纲 (81) 《网络信息安全理论与技术》教学大纲 (84) 《通信安全理论与技术》教学大纲 (87) 《电子测量原理》教学大纲 (89) 《数据库系统及应用》课程教学大纲 (92) 《单片微机原理及应用》教学大纲 (95) 《单片微机原理及应用(MSP430)》教学大纲 (98) 《高级编程技术及应用》教学大纲 (101) 《嵌入式系统硬件》教学大纲 (104) 《彩色电视原理》教学大纲 (107)

东南大学信息学院模电实验六

实验六多级放大器的频率补偿和反馈 姓名:范文佳学号:04212706 实验内容: 1.多级放大器的基本结构及直流工作点设计 节点1 节点2 节点3 直流工作点电压14.42956 14.42958 8.38849 当输出级的PNP管只采用差分对管U3中的一只管子,放大器的输出直流电压是7.07V。采用一只管子输出点的电压变小,采用两只管子能使工作点更稳定,提高直流工作点。 2.多级放大器的基本电参数仿真 ①差模增益及放大器带宽 差模增益:99.4103dB ,上限频率:1.3460kHz ,0dB点相位:158.5380 幅频相频特性曲线

②共模增益 共模增益:-12.6382dB,共模抑制比:200162.81 幅频特性仿真结果图 ③差模输入阻抗 差模输入阻抗53.6586k,100Hz 处的阻抗53.6175k ④输出阻抗 输出阻抗:32.7771k,100Hz 处阻抗:32.6826k 思考:若放大器输出电压信号激励后级放大器,根据仿真得到

的结果,后级放大器的输入阻抗至少为多少才能忽略负载影响?若后级放大器输入阻抗较低,采取什么措施可以提高放大器的驱动能力? 答:后级放大器的输入阻抗至少为326.8k才能忽略负载影响。 给放大器输出端并联一个小电阻来减小输出阻抗。 3.多级放大器的频率补偿 ①简单电容补偿 仿真得,最小补偿电容约为3.3uF 上限频率:2.0251Hz 0dB处的相位为-134.5026 幅频相频特性曲线 ②密勒补偿 上限频率:168.1294Hz,0db处的相位: -133.8026 输出电压为V(3)时,最小补偿电容值为115pF

东南大学自动化数字频率计实验报告解析

东南大学自动化学院 《数字系统课程设计》 设计报告 项目名称:基于FPGA的简易数字频率计 姓名:严双利学号:08015214 专业:自动化实验室:金智楼416 组别:同组人员: 设计时间:2017 年9 月14 日——2017 年9 月15 日评定成绩:审阅教师:

目录 一.设计目的 (3) 二.设计方案及论证 (3) 三. 模块设计和仿真 (5) 四.顶层文件和实验结果 (23) 五.总结 (27)

一.设计目的 通过fpga制作简易的数字频率计,可以在实验箱上测量输入信号的频率,并显示在四位数码管上,通过对小数点的改变实现不同的量程的频率的计算,通过反馈获取是否要换量程的信号对量程进行转换,从而设计出一个可以自动切换量程的简易数字频率计。 二.设计方案及论证 1.设计使用环境 本设计为简易的数字频率计,是基于实验室的FGPA实验箱实现的,主要用于 测量方波的频率,通过quartus软件编写Verilog HDL 构建电路,并将电路烧 入FPGA芯片上,将计算得到的频率值通过四位数码管输出。 2.设计任务分析 测量频率:简而言之就是测量一个信号在一定的时间内的周期数周期的个数通 过测量上升沿的个数来确定。上升沿的个数除以时间便是频率。设在一次预置门 控时间Tg内对被测信号计数值为Nx,则fx=Nx /Tg。门控时间通过对实 验箱上的晶振信号分频所得。 量程切换:频率计共有三个档位,单位为khz。第一档采用的计数时长为1s, 最大计数值为9999,所以第一档能够测量的频率的范围便是0.000-9.999khz。 同理,第二档的计数时长为0.1s,测量的范围为0.00-99.99khz,第三档计数时 长为0.01s,测量的范围为0.0-999.9khz。当每次计数结束时,判断是否溢出或 者欠量程,若需要换量程则输出一个换量程的信号反馈给前方选择档位的数据选 择器模块,根据当前的量程和换量程的类型改变量程 计数:由于数码管显示四位数,所以计数模块就设置四个级联在一起的模十计 数器,每个计数器设置一个进位信号作为下一级的计数信号,其中最低位的计数 器的计数信号是待测信号输入,最高位的进位信号作为溢出信号。 数据记忆:实现数据不是实时刷新,只需要对数据进行锁存即可,每次计数完 成是锁存再显示 数码管显示:数码管负责输出对待测信号的计数值,根据量程的不同,在显示 这三种不同的量程的时候只需要改变小数点的位置即可。首先需要获取数字0-9 对应的段码表,同时要区分是否带小数点,将计数器锁存的数字对照段码表输出 到数码管中即可。 发光二极管显示量程:选择档位的模块采用的是数据选择器,通过读取数据选 择器的选通信号,用两位的选通信号译码来控制三个led等的量或者灭。 3.测量控制原理 测量控制主要是要控制计数器的计数时间,控制计数完成后清零和锁存,控制量程改变的判定和数码管的输出的时间。为了使这些事件能够有顺序的触 发,我对使用晶振分频得到的1s,0.1s和0.01s进行操作,产生了三个控制信

接口实验报告

百度文库 计算机输入输出系统接口实验报告 姓名:周芙蓉 学号: 东南大学计算机科学与工程学院、软件学院 School of Computer Science & Engineering College of Software Engineering Southeast University 二0 15 年6月

实验一环境熟悉与I/O地址译码 一、实验目的 掌握I/O地址译码电路的工作原理。 二、实验内容 将接口实验包中所带的文件用ISIS 7打开。改变A9~A3的接线方法,从而得到Y0;388H~38FH;Y1:398H~39FH; ……;Y7:3F8H~3FFH。并修改上一问的程序,以同样使得Y4#有效。 1)源程序 .8086 .MODEL SMALL .stack .data address word 3c8h .code start: mov ax,@data mov ds,ax mov dx,address mov al,0 out dx,al jmp $;死循环 END start 2)电路原理图(138译码部分) Y0:388H~38FH;Y1:398H~39FH; ……;Y7:3F8H~3FFH A9 A8 | A7 A6 A5 A4 | A3 A2 A1 A0 1 1 1 C B A 1 X X X

3)运行结果贴图(138译码及上面两个273的输出)Y4#有效为3C8H~3CFH时

实验二可编程中断控制器8259 一、实验目的 1.掌握8259的基本工作原理和编程方法。 2.深入了解中断的概念和实地址模式下中断处理程序的编写方法。 二、实验内容 将接口实验包中所带的文件用ISIS 7打开。按手册接线并执行。运行结果贴图(执行三次中断,每次中断后的8086寄存器的截图) ……

东南大学信息学院大四上综合课程设计报告(微波组)

综合课程设计实验报告 课程名称:微波方向综合课程设计 实验名称:微波元件设计、仿真与测试 院(系):信息科学与工程学院 专业班级: 040101 姓名: XXXX 学号: 040101 指导教师: 20XX年XX月XX日

一、实验目的 1. 了解定向耦合电路的原理和设计方法; 2. 学习使用Microwave office 软件进行微波电路的设计、优化、仿真; 3. 掌握定向耦合器的制作及调试方法。 二、实验原理 定向耦合器是一个四端口网络(如图1所示),其中端口1是输入端,端口2是直通端,端口3是耦合端,端口4是隔离端。 分支线定向耦合器(3dB )是一种常用的微带定向耦合器,如图 2.2所示,图中连接四个端口的微带线阻抗为0Z ;串联分支的微带线阻抗为0/2S Z Z =,长度为/4g λ;并联分支的微带线阻抗为0P Z Z =,长度为/4g λ。 图1 分支线定向耦合器 由奇偶模分析可知,当信号从1端口输入时,分支线定向耦合器的2端口为直通端、3端口为耦合端、4端口为隔离端,2、3端口之间输出信号的幅度相同、相位相差900。

三、实验内容和设计指标 实验内容: 1. 了解微带分支线定向耦合器的工作原理; 2. 根据指标要求,使用Microwave office 软件设计一个微带分支线定 向耦合器,并对其参数进行优化、仿真。 设计指标: 在介电常数为4.5,厚度为1mm 的FR4基片上(T 取0.036mm ,Loss tangent 取0.02),设计一个中心频率为f 、相对带宽为10%,用于50欧姆系统阻抗的3dB 微带分支线定向耦合器。要求:工作频带内各端口的反射系数小于-20dB ,输入端与隔离端的隔离度大于25dB ,直通端与耦合端的传输损耗小于3.5dB 。定向耦合器的参考结构如图3.1所示,在设计时要保证四个端口之间的距离大于10mm ,以便于测试。左右端口的距离应为40或50mm 。 微带分支线定向耦合器的结构 在进行设计时,主要是以定向耦合器的S 参数作为优化目标进行优化仿真。S21、S31是传输系数,反映传输损耗;S41反映了输入端与隔离端之间的隔离度;S11、S22、S33、S44分别是输入、输出端口的反射系数。根据S21,S31的相位仿真结果可以得到这两个输出端口的相位差。 四、理论设计过程 首先根据介电常数为4.5,厚度为1mm 的FR4基片(T 取0.036mm ,Loss tangent 取0.02)等条件,计算3dB 定向耦合器的各项尺寸参数。 对于端口处的微带线和并联分支,其阻抗都等于特征阻抗为50Ω。在3GHz 的中心频率下,计算得宽度为1.8844mm 。对于并联分支,长度为/4g ,计算得为13.426mm 。 1 2 3 4

东南大学信息工程数字电路与系统第6次实验报告

数字规律电路试验第六次试验报告 试验题目试验日期 广告流水灯2023 年12 月19 日

一、试验题目 广告流水灯。用时序器件、组合器件和门电路设计一个广告流水灯,该流水灯由8 个LED 组成,工作时始终为1 暗7 亮,且这一个暗灯循环右移。 1)写出设计过程,画出设计的规律电路图,按图搭接电路; 2)验证明验电路的功能; 3)将1 秒连续脉冲信号加到系统时钟端,观看并记录时钟脉冲CP、触发器的输出端Q2、Q1、Q0 的波形。 二、试验原理 用时序规律电路产生模8 的计数,再用译码器输出凹凸电平,最终LED 灯与译码器的8 个输出引脚相连,实现流水灯。 三、设计过程 给出74161 的状态转移真值表 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 0 0 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 1 1 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 观看状态转移真值表可知,的一个周期是的两个周期,也就是说在猎取模8 计数时,可以直接承受,故分别与73138 译码器的CBA 相连, Multisim 仿真如下

面包板实现电路如下:左边为74161 芯片,右边为74138 芯片电路板接线如下:红线为高电平,黑线为低电平,绿线为时钟

计算机网络DHCP实验报告

计算机网络DHCP实验报告 计算机网络DHCP实验报告 一、实验目的 本次实验旨在理解和掌握动态主机配置协议(DHCP)的工作原理及实现过程,并通过实际操作提高计算机网络实践技能。 二、实验环境 实验设备:两台计算机、一个路由器、一个DHCP服务器实验操作系统:Windows 10 实验网络环境:简单的家庭网络 三、实验原理 动态主机配置协议(DHCP)是一种网络协议,主要用于集中管理和分配IP地址等网络信息,使得客户端能够在网络中动态地获得IP地址和其他配置信息。DHCP协议运行在UDP协议之上,使用67和68端口进行通信。 四、实验步骤 1、配置DHCP服务器在实验中,我们选择一台计算机作为DHCP服务器,安装并配置Windows Server 2016操作系统。在服务器上创建DHCP作用域,并分配IP地址、子网掩码、默认网关等网络配置信息。

2、配置路由器将路由器连接到实验网络,并设置其为DHCP服务器。在路由器上设置正确的子网掩码、默认网关和DNS服务器地址等信息。 3、配置客户端选择一台计算机作为客户端,安装Windows 10操作 系统。将客户端连接到实验网络,并禁用其DHCP服务。 4、启动DHCP服务在DHCP服务器上启动DHCP服务,并确保客户端 能够通过网络邻居找到DHCP服务器。 5、客户端获取IP地址在客户端上设置自动获取IP地址,并尝试连接到网络。通过命令提示符或者网络共享中心查看客户端获取的IP 地址等信息。 6、手动配置客户端IP地址尝试在客户端上手动配置IP地址、子网掩码、默认网关等信息,并连接到网络。观察是否能够正常上网。 7、监视DHCP租约过程在DHCP服务器上监视客户端的租约过程,观察IP地址分配给客户端的详细步骤。 五、实验结果与分析 1、客户端通过DHCP获取IP地址当客户端设置为自动获取IP地址时,能够成功地从DHCP服务器获取到一个可用的IP地址及其他配置信息,并成功连接到网络。通过命令提示符查看,发现客户端的IP 地址、子网掩码、默认网关等信息与DHCP服务器上分配的一致。

poc测试报告

poc测试报告 随着互联网技术的迅猛发展,网络安全问题日益突出。为了确保系统的安全性,现如今几乎每个组织都开始重视安全测试,并通过不同的测试方法来评估系统的弱点和风险。其中一种常见的测试方法就是POC(Proof of Concept,概念验证)测试。本文将探讨POC测试的定义、过程以及其在网络安全领域的重要性。 一. POC测试的定义与过程 POC测试是指通过模拟攻击、漏洞利用或者其他方式,尝试验证系统存在的安全漏洞。其目的是通过系统实际运行来验证安全漏洞的真实性和危害程度。POC测试的过程一般分为以下几个步骤: 1. 收集信息:测试人员首先需要收集有关目标系统的信息,包括系统结构、网络拓扑、运行环境等。这些信息将有助于测试人员选择合适的攻击方式和漏洞利用方法。 2. 漏洞扫描:基于收集到的信息,测试人员进行漏洞扫描,寻找目标系统可能存在的安全漏洞。通过使用专业的漏洞扫描器或

自行编写脚本,测试人员可以识别系统中存在的弱点,并评估其 危害程度。 3. 漏洞利用:在确定了存在的漏洞后,测试人员会通过特定的 攻击方式对系统进行漏洞利用。这一步骤需要谨慎操作,以避免 对目标系统造成不可逆的损害。 4. 结果分析:在利用漏洞后,测试人员会对测试结果进行详细 分析。他们将评估系统的安全性,并记录所有已发现的漏洞以及 可能的后果。 二. POC测试在网络安全中的重要性 POC测试在网络安全领域中扮演着重要的角色,并具有以下几 个方面的重要性: 1. 弱点揭示:POC测试可以帮助发现系统中的安全弱点和漏洞。通过模拟真实的攻击过程,测试人员可以揭示系统存在的潜在风险,并及时采取措施修复。

东南大学电路实验实验报告

电路实验 实验报告 第二次实验 实验名称:弱电实验 院系:信息科学与工程学院专业:信息工程:学号: 实验时间:年月日

实验一:PocketLab的使用、电子元器件特性测试和基尔霍夫定理 一、仿真实验 1.电容伏安特性 实验电路: 图1-1 电容伏安特性实验电路 波形图:

图1-2 电容电压电流波形图 思考题: 请根据测试波形,读取电容上电压,电流摆幅,验证电容的伏安特性表达式。 解:()()mV wt wt U C cos 164cos 164-=+=π, ()mV wt wt U R sin 10002cos 1000=⎪⎭⎫ ⎝ ⎛ -=π,us T 500=; ()mA wt R U I I R R C sin 213.0== =∴,ππ 40002==T w ; 而()mA wt dt du C C sin 206.0= dt du C I C C ≈⇒且误差较小,即可验证电容的伏安特性表达式。 2.电感伏安特性 实验电路: 图1-3 电感伏安特性实验电路 波形图:

图1-4 电感电压电流波形图 思考题: 1.比较图1-2和1-4,理解电感、电容上电压电流之间的相位关系。对于电感而言,电压相位 超前 (超前or 滞后)电流相位;对于电容而言,电压相位 滞后 (超前or 滞后)电流相位。 2.请根据测试波形,读取电感上电压、电流摆幅,验证电感的伏安特性表达式。 解:()mV wt U L cos 8.2=, ()mV wt wt U R sin 10002cos 1000=⎪⎭ ⎫ ⎝⎛ -=π,us T 500=; ()mA wt R U I I R R L sin 213.0===∴,ππ 40002==T w ; 而()mV wt dt di L L cos 7.2= dt di L U L L ≈⇒且误差较小,即可验证电感的伏安特性表达式。 二、硬件实验 1.恒压源特性验证 表1-1 不同电阻负载时电压源输出电压 2.电容的伏安特性测量

东南大学计算方法实验报告

计算方法与实习实验报告 学院:电气工程学院 指导老师:*** 班级:160093 ****** 学号:********

实习题一 实验1 拉格朗日插值法 一、方法原理 n次拉格朗日插值多项式为:L n(x)=y0l0(x)+y1l1(x)+y2l2(x)+…+y n l n(x) n=1时,称为线性插值,L1(x)=y0(x-x1)/(x0-x1)+ y1(x-x0)/(x1-x0)=y0+(y1-x0)(x-x0)/(x1-x0) n=2时,称为二次插值或抛物线插值,精度相对高些 L2(x)=y0(x-x1)(x-x2)/(x0-x1)/(x0-x2)+y1(x-x0)(x-x2)/(x1-x0)/(x1-x2)+y2(x-x0)(x-x1)/(x2-x0)/(x2-x1) 二、主要思路 使用线性方程组求系数构造插值公式相对复杂,可改用构造方法来插值。 对节点x i(i=0,1,…,n)中任一点x k(0<=k<=n)作一n 次多项式l k(x k),使它在该点上取值为1,而在其余点x i(i=0,1,…,k-1,k+1,…,n)上为0,则插值多项式为L n(x)=y0l0(x)+y1l1(x)+y2l2(x)+…+y n l n(x) 上式表明:n 个点x i(i=0,1,…,k-1,k+1,…,n)都是l k(x)的零点。可求得l k 三.计算方法及过程:1.输入节点的个数n 2.输入各个节点的横纵坐标 3.输入插值点 4.调用函数,返回z 函数语句与形参说明 程序源代码如下: #include #include using namespace std; #define N 100 double fun(double *x,double *y, int n,double p); void main() {int i,n; cout<<"输入节点的个数n:"; cin>>n; double x[N], y[N],p; cout<<"please input xiangliang x= "<>x[i]; cout<<"please input xiangliang y= "<>y[i]; cout<<"please input LagelangrichazhiJieDian p= "<>p;

实验报告典型环节的电路模拟

东南大学能源与环境学院 实验报告 课程名称:自动控制原理 实验名称:典型环节的电路模拟 院(系):能源与环境学院专业:热能与动力工程姓名:李鹏学号:03009414 实验室:自动控制实验室实验组别: 同组人员:陈兴实验时间:2011年10 月14日评定成绩:审阅教师:

目录 一.实验目的 (3) 二.实验设备 (3) 三.实验内容 (3) 四.实验曲线 (3) 五.实验原理 (5) 六.实验结论 (7) 七.实验思考题 (7)

典型环节的电路模拟 (实验报告) 姓名:李鹏学号:03009414 班级:030094 实验指导老师:__________________ 成绩:____________________ 一、实验目的 1. 熟悉THBDC-1型信号与系统·控制理论及计算机控制技术实验平台及上位机软件的使用; 2. 熟悉各典型环节的阶跃响应特性及其电路模拟; 3. 测量各典型环节的阶跃响应曲线,并了解参数变化对其动态特性的影响。 二、实验设备 1. THBDC-1型控制理论·计算机控制技术实验平台; 2. PC机一台(含上位机软件)、数据采集卡、37针通信线1根、16芯数据排线、采接卡接口线 三、实验内容 1. 设计并组建各典型环节的模拟电路; 2. 测量各典型环节的阶跃响应,并研究参数变化对其输出响应的影响; 四、实验数据或曲线 图1 实验曲线 对应参数如下: 1.1 1.2

2.1 2.2 3.1 3.2 4.1 4.2 5.1 5.2

五、实验原理 自控系统是由比例、积分、微分、惯性等环节按一定的关系组建而成。熟悉这些典型环节的结构及其对阶跃输入的响应,将对系统的设 计和分析是十分有益的。 本实验中的典型环节都是以运放为核心元件构成,其原 理框图 如图1-1所示。图中Z 1和Z 2表示由R 、C 构成的复数阻抗。 1. 比例(P )环节 图1-1 比例环节的特点是输出不失真、不延迟、成比例地复现输出信号的变化。它的传递函数与方框图分别为: K S U S U S G i O ==)()()( 当U i (S)输入端输入一个单位阶跃信号,且比例系数为K 时的响应曲线如图1-2所示。 2. 积分(I )环节 图1-2 积分环节的输出量与其输入量对时间的积分成正比。它的传递函数与方框图分别为: 设U i (S)为一单位阶跃信号,当积分系数为T 时的响应曲线如图1-3所示。 图1-3 3. 比例积分(PI)环节 比例积分环节的传递函数与方框图分别为: 其中T=R 2C ,K=R 2/R 1 设U i (S)为一单位阶跃信号,图1-4示出了比例系数(K)为1、积分系数为T 时的PI 输出响应曲线。 Ts S U S U s G i O 1)()()(==)11(11)()()(21211212CS R R R CS R R R CS R CS R S U S U s G i O +=+=+==

东南大学模电实验报告-实验一-运算放大器的基本应用

东南大学电工电子实验中心 实验报告 课程名称:模拟电子电路实验 第一次实验 实验名称:运算放大器的基本应用 院(系):自动化学院专业:自动化 姓名:某某学号:***** 实验室: 101实验组别: 同组人员:无实验时间:2017年3月29日评定成绩:审阅教师:

实验一运算放大器的基本应用 一、实验目的: 1、熟练掌握反相比例、同相比例、加法、减法、积分、微分等电路的设计方法; 2、熟练掌握运算放大电路的故障检查和排除方法; 3、了解运算放大器的主要直流参数(输入失调电压、输入偏置电流、输入失调电流、温度 漂移、共模抑制比,开环差模电压增益、差模输入电阻、输出电阻等)、交流参数(增益带宽积、转换速率等)和极限参数(最大差模输入电压、最大共模输入电压、最大输出电流、最大电源电压等)的基本概念; 4、熟练掌握运算放大电路的增益、幅频特性传输曲线测量方法。 二、预习思考: 1、查阅741运放的数据手册,自拟表格记录相关的直流参数、交流参数和极限参数,解释 参数含义。

参数转换速率S R TYP0.5V/μS 该参数指输出电压的变化量与发 生这个变化所需的时间之比 极限参数 最大差模 输入电压U IOR ±30V 反向和同相输入端能承受的最大电压 值。超过这个电压值运放的功能会受到 影响。 最大共模 输入电压U ICR TYP±13V NIN±12V 同相端与反相输入端承受的最大共模 信号电压值。超过这个值运放的共模抑 制比会显著下降,放大功能会受到影 响。 最大输出电流I OS TYP±30mA; MAX±40 mA 运放所能输出的电流峰值。 最大电源电压U SR±22V 运放最大电源电压。 2、设计一个反相比例放大器,要求:|A V|=10,Ri>10KΩ,R L=100 KΩ,并用Multisim仿 真; (1)仿真原理图 (2)参数选择计算 因为要求|A v|=10,即|V0/V i|= |-R F/R1|=10,故取R F=10R1,输入电阻尽量大些,取:R1=15kΩ,R F=150 kΩ, R L=100 kΩ (3)仿真结果

相关主题
相关文档
最新文档