数码显示电路的设计概要
数码显示电路的设计概要

数码显示电路的设计概要1.数字信号输入:2.解码器:解码器是数码显示电路中的核心部件。
它将输入的数字信号转换为七段数码管所需的控制信号。
解码器一般有常见的BCD(二进制编码十进制)解码器和十六进制解码器等。
解码器可以采用组合逻辑电路或者查找表的形式实现。
3.驱动器:驱动器用于对七段数码管进行驱动,使其显示所需的数字。
它一般由锁存器和驱动线路组成。
驱动器将解码器的输出信号转换为适合七段数码管的电平和电流。
驱动器需要考虑驱动线路的阻抗匹配和适当的驱动电流限制。
4.七段数码管:七段数码管是数码显示电路的输出部件,用于显示数字。
它由七个LED(发光二极管)和一个小数点组成。
每个LED表示一个数字的一个段。
通过对不同的LED进行组合,可以显示0-9的十个数字和一些字母以及特殊符号。
七段数码管的选型需根据设计的需求来选择合适的型号。
5.电源:6.其他辅助部件:根据具体设计需求,还可以添加一些辅助部件来实现特定功能,如锁存器、显示刷新电路、亮度控制电路、自动亮度调节电路等。
在数码显示电路的设计过程中,需要充分考虑信号的稳定性、电路的稳定性、功耗和成本等方面的因素。
同时,还需要正确配合各个模块的参数,调整各种电阻、电容和放大器增益等参数,以实现期望的性能和功能。
总结起来,数码显示电路是一种用于将数字信号转换为可见的数码显示的电子电路。
它主要由数字信号输入、解码器、驱动器、七段数码管和电源等部件组成。
在设计数码显示电路时,需要注意信号的稳定性、功耗、成本和相互配合等方面的因素。
设计过程中需要充分考虑各种参数和调整合适的电路元件,以实现所需的性能和功能。
数电实验报告数码管显示控制电路设计

数电实验报告数码管显示控制电路设计实验目的:设计一个数码管显示控制电路,实现对数码管的显示控制。
实验器材:数码管、集成电路、电阻、开关、电源等。
实验原理:数码管是一种用它们来显示数字和字母的一种装置。
它由几个独立的发光二极管组成,每个数字由不同的发光二极管的组合表示。
对数码管的显示控制通常使用多路复用技术实现,即通过控制数码管的分段和共阴极或共阳极来实现不同数字的显示。
实验步骤:1.确定数码管的类型和接线方式。
本实验中使用共阳数码管,数码管共阳极通过电阻连接到正极电源。
2.选取适当的集成电路作为显示控制电路。
本实验中选择CD4511作为显示控制芯片,它可以实现对4位共阳数码管的显示控制。
3.连接电路。
将4位共阳数码管的阳极分别连接到CD4511芯片的A、B、C和D端口,共阴极连接到电源正极。
将CD4511芯片的输入端口IN1、IN2、IN3和IN4连接到微控制器的输出端口,控制微控制器输出的电平来选通不同的数码管。
4.设置微控制器的输出。
通过编程或手动设置微控制器的输出端口来控制数字的显示。
根据需要显示的数字,将相应的输出端口设置为高电平,其余端口设置为低电平。
通过适当的延时控制,便可以实现数字的连续显示。
实验结果与分析:经过上述步骤完成电路搭建后,我们可以通过改变微控制器的输出端口来控制数码管的显示。
当我们设置不同的输出端口为高电平时,相应的数码管会显示对应的数字。
通过适当的延时控制,我们可以实现数字的连续显示,从而实现对数码管的显示控制。
实验结论:通过本次实验,我们成功地设计并实现了一个数码管显示控制电路。
通过对微控制器输出端口的控制,我们可以实现对数码管的数字显示控制。
这对于数字显示系统的设计和开发具有重要意义。
实验心得:通过本次实验,我对数码管的显示控制有了更深入的了解。
数码管作为一种常见的数字显示装置,广泛应用于各种电子设备中。
掌握其显示控制原理和方法对于电子技术爱好者来说至关重要。
通过实际操作,我对数码管显示控制电路的设计和实现有了更深入的认识,同时也提高了我对数字显示系统的理解和设计能力。
数码显示管电路设计

数码显示管电路设计
数码显示管是一种用于数字显示的电子元件,常用于电子时钟、计数器、计时器等应用中。
数码显示管电路通常由数字信号发生器、数码显示驱动芯片和数码显示管组成。
下面是一个基本的四位数码显示电路设计:
1. 数字信号发生器:采用555定时器芯片作为数字信号发生器,通过改变电阻和电容的值可以调节输出频率和占空比,以提供给数码驱动芯片控制信号。
2. 数码显示驱动芯片:采用常用的74HC595芯片作为数码显
示驱动芯片,其具有串行输入、并行输出的功能,可以根据输入的控制信号驱动多个数码管显示数字。
3. 数码显示管:通常采用共阳或共阴极的数字显示管,这里以共阴极管为例,需要通过数码驱动芯片的输出端口控制。
4. 电源:提供所需的电源电压和电流,确保电路正常工作。
在实际应用中,可以根据需要增加按键、蜂鸣器等外设,以实现更多功能。
例如:
1. 按键:用于调整时间、设置闹铃等功能。
2. 蜂鸣器:用于闹铃提示、警报等功能。
3. 温湿度传感器:用于检测环境温湿度,并将数据显示到数码管上。
以上是一个基本的数码显示管电路设计,具体实现取决于应用场景和具体需求。
数码管显示控制电路设计

实验八数码管显示控制电路设计一、实验要求与目的实验目的:能自动循环显示数字:0、1、2、3、4、0、3、0、3、4;实验要求:1、用原理图输入方式完成设计;2、给出仿真波形;3、计数脉冲CLK安BUTTON,计数结果按7段码HEXO显示(DEO板);二、实验设备数字电路实验箱、FPGA板、74LS00、74LS47、74LS32、74LS90三、实验内容及结果分析:对于M 10的序列,可通过选择不同的码制接法或者选择特定的计数值来简化实验电路。
74LS90有8421码和5421码两种接法,但在本次实验中采用5421码连接电路比较简单。
用74LS90实现十进制计数,然后将其输出通过卡诺图化简接相应门电路至七段译码器的输入端。
真值表:Q A Q D Q C Q B D C B A 输出字形0 0 0 0 0 0 0 0 00 0 0 1 0 0 0 1 10 0 1 0 0 0 1 0 20 0 1 1 0 0 1 1 30 1 0 0 0 1 0 0 41 0 0 0 0 0 0 0 01 0 0 1 0 0 1 1 31 0 1 0 0 0 0 0 01 0 1 1 0 0 1 1 31 1 0 0 0 1 0 0 4经卡诺图化简得到如下表达式:A= Q BB= Q C+ Q A Q BC= Q DD=01、打开QuartusⅡ,新建一个工程,使用图形编辑器进行设计输入,即导入逻辑门符号、导入I/O符号、链接节点,得到如下电路:2、编译设计电路无错后,打开波形编辑器,设置仿真时间区间,将工程的端口信号节点选入波形编辑器中,编辑输入波形(输入激励信号),编辑运行后会得到理想的输出波形图。
再根据表分配标注引脚,进行相关硬件设置。
编译运行后的波形图如下(Y代表输出波形):3、进行仿真器参数设置(“Assignment”→“Setting” ),然后启动仿真器(“Processing”→“Start Simulation”)。
如何设计简单的数字显示电路

如何设计简单的数字显示电路数字显示电路是一种常见的电子电路,用于将数字信息以可视化形式展示出来。
设计一个简单的数字显示电路需要考虑到多个方面,包括数字信号输入、数码管显示、信号处理等。
本文将介绍如何设计一个简单且有效的数字显示电路。
首先,数字信号的输入。
在数字电路中,数字信号通常以二进制形式表示。
一般情况下,我们使用开关或按钮来输入数字信号。
可以将多个开关或按钮与逻辑门相连,通过逻辑门来将输入的信号转换为二进制码。
例如,可以使用4个开关分别表示二进制数的各位,然后将它们与AND、OR、NOT等逻辑门相连,以得到最终的二进制码。
接下来是数码管的显示。
数码管是一种常用的数字显示设备,能够将数字信息以可视化形式展示出来。
常见的数码管有共阳极和共阴极两种类型。
对于共阴极数码管,它们的负极(阴极)是共用的,而正极(阳极)分别与控制芯片相连。
而对于共阳极数码管,则正好相反。
我们可以通过控制数码管的阳极或阴极来显示不同的数字。
通常,数码管内部有七个或者更多的LED灯,用来显示不同的数字。
设计一个简单的数字显示电路时,需要确定数码管的类型、连接方式以及控制逻辑。
信号处理是数字显示电路中的关键环节。
在输入的数字信号经过逻辑门转换得到二进制码后,需要将二进制码转化为七段码或其他适合数码管显示的编码形式。
常见的七段码包括BCD码(十进制编码)、ASCII码等。
通过将二进制码转化为七段码,然后将七段码与数码管相连接,即可实现数字的显示。
在信号处理的过程中,可能涉及到编码转换器、译码器等电路。
此外,为了确保数字显示电路的正常工作,还需要考虑到电源供电、接地和电路的稳定性等因素。
通常情况下,我们使用直流电源供电,并确保电源电压稳定。
同时,还需要注意将数字显示电路正确地接地,以减少干扰,提高信号的稳定性和可靠性。
综上所述,设计一个简单的数字显示电路需要考虑到数字信号的输入、数码管的显示、信号处理以及电源供电等方面的问题。
通过合理地选择开关、逻辑门、数码管和相关电路元件,并设计适合的连接方式和信号处理方法,即可实现数字信息的简单显示。
数电实验报告数码管显示控制电路设计

数电实验报告数码管显示控制电路设计一、实验目的1.学习数码管介绍和使用;2.熟悉数码管控制电路设计思路和方法;3.掌握数码管显示控制电路的实验过程和步骤。
二、实验原理数码管是数字显示器件,具有低功耗、体积小、寿命长等优点。
常见的数码管有共阳极和共阴极两种。
共阳极数码管的阳极端口是一个共用的端口,通过将不同的阴极端口接地来控制数码管的发光情况。
共阴极数码管的阴极端口是一个共用的端口,通过将不同的阳极端口接地来控制数码管的发光情况。
数码管的控制电路可以使用逻辑门电路或微控制器来实现。
本实验采用逻辑门电路来设计数码管显示控制电路。
三、实验器材和器件1.实验板一块;2.74LS47数码管译码器一颗;3.共阴极数码管四个;4.逻辑门IC:7404、7408、7432各一个;5.杜邦线若干。
四、实验步骤1.将74LS47数码管译码器插入实验板上的相应位置,并用杜邦线连接74LS47和逻辑门IC的引脚:1)将74LS47的A、B、C和D引脚依次连接到7408的输入端;2)将74LS47的LE引脚连接到VCC(高电平,表示使能有效);3)将74LS47的BI/RBO引脚连接到GND(低电平,表示译码输出);4)将7408的输出端依次连接到7432的输入端;5)将7432的输出端依次连接到数码管的阴极端口。
2.将四个数码管的阳极端口分别连接到4个控制开关上,并将开关接地。
3.将实验电路接入电源,调整电压和电流,观察数码管的显示情况。
五、实验结果和分析实验结果显示,控制开关的状态可以控制数码管的显示内容。
当其中一控制开关接地时,对应的数码管会显示相应的数字。
通过调整开关的状态,可以实现不同数字的显示。
六、实验总结通过这次实验,我学会了数码管的基本使用方法和控制电路的设计思路。
数码管作为一种数字显示元件,广泛应用于各种电子产品中,掌握其控制方法对于电子工程师来说非常重要。
在今后的学习和工作中,我将继续深入研究数码管的相关知识和应用,提高自己的技术水平。
组合电路——7段数码管显示驱动电路设计报告

实验一、组合电路——7段数码管显示驱动电路设计一、实验目的了解EDA实验箱7位八段数码管显示模块的工作原理,设计标准扫描驱动电路模块,以备后面实验用。
二、硬件要求主芯片为Cyclone V E,型号为EP4CE22F17C8,7位八段数码管显示器,四位拨码开关。
三、实验内容用四位拨码开关产生8421BCD码,用CPLD分别产生7段数码管扫描驱动电路,然后进行仿真,观察波形,正确后编程下载实验测试。
四、实验原理1、72、动信号a,b,c,d,e,f,g。
通过调节四位拨码开关的状态,数码管应显示与之对应的字符。
五、实验连线输入:将芯片管角a0~a3分别接4个拨码开关;输出:将芯片管角led7s0~7分别接到数码管7段驱动信号a、b、c、d、e、f、g上。
六、实验源程序:decl7s.vhdlibrary ieee;use ieee.std_logic_1164.all;entity decl7s isport(a:in std_logic_vector(3 downto 0);led7s:out std_logic_vector(6 downto 0));end;architecture one of decl7s isbeginprocess(a)begincase a iswhen "0000" => led7s<="0111111"; when "0001" => led7s<="0000110"; when "0010" => led7s<="1011011"; when "0011" => led7s<="1001111"; when "0100" => led7s<="1100110"; when "0101" => led7s<="1101101"; when "0110" => led7s<="1111101"; when "0111" => led7s<="0000111"; when "1000" => led7s<="1111111"; when "1001" => led7s<="1101111"; when "1010" => led7s<="1110111"; when "1011" => led7s<="1111100"; when "1100" => led7s<="0111001"; when "1101" => led7s<="1011110"; when "1110" => led7s<="1111001"; when "1111" => led7s<="1110001"; when others => null;end case;end process;end;七、波形仿真结果。
两位数码管显示设计

两位数码管显示设计摘要:本设计旨在设计一套能够在两位数码管上显示不同数字的电路。
通过使用逻辑门、计数器和驱动器等组合电路,以及基本的数字逻辑知识,通过编写Verilog代码实现数字的显示和计数。
关键词:两位数码管、逻辑门、计数器、驱动器、Verilog代码一、引言数码管(Digital Display)是一种用于显示数字和字母的设备,它将输入的信号转换为相应的数字或字符,并在屏幕上显示出来。
本设计中,我们使用两位数码管,通过设计适当的电路和使用相应的逻辑门、计数器和驱动器等组合电路,以及编写Verilog代码,实现数字的显示和计数。
二、设计思路1.利用计数器设计模块来实现数字的计数功能。
通过适当的控制信号,将从0计数到9,并在10时重新计数。
计数器的输出作为数码管的输入。
2.设计一个驱动器模块,将计数器模块的输出转换为适合于数码管显示的控制信号。
通过逻辑门的组合,将每个数码管的数码按照不同的段(段是数码管上的一个小灯,用于显示数字的每个部分)进行控制。
3. 编写Verilog代码,将计数器模块和驱动器模块进行组合。
通过适当的端口和输入/输出信号,将两个模块连接在一起,实现数字的显示和计数。
三、设计实现1.计数器模块设计module counterinput clk, // 时钟信号output reg[3:0] count // 计数器的输出beginif (count == 4'b1001) // 9时重新计数count <= 4'b0000;elsecount <= count + 1; // 计数器自增endendmodule2.驱动器模块设计module decoderinput reg[3:0] count, // 指示要显示的数字output reg a, b, c, d, e, f, g // 段待显示数字begincase(count)4'b0000 : begin a = 1; b = 1; c = 1; d = 1; e = 1; f = 1; g = 0; end // 数字04'b0001 : begin a = 0; b = 1; c = 1; d = 0; e = 0; f = 0; g = 0; end // 数字14'b0010 : begin a = 1; b = 1; c = 0; d = 1; e = 1; f = 0; g = 1; end // 数字24'b0011 : begin a = 1; b = 1; c = 1; d = 1; e = 0; f = 0; g = 1; end // 数字34'b0100 : begin a = 0; b = 1; c = 1; d = 0; e = 0; f = 1; g = 1; end // 数字44'b0101 : begin a = 1; b = 0; c = 1; d = 1; e = 0; f = 1; g = 1; end // 数字54'b0110 : begin a = 1; b = 0; c = 1; d = 1; e = 1; f = 1; g = 1; end // 数字64'b0111 : begin a = 1; b = 1; c = 1; d = 0; e = 0; f = 0; g = 0; end // 数字74'b1000 : begin a = 1; b = 1; c = 1; d = 1; e = 1; f = 1; g = 1; end // 数字84'b1001 : begin a = 1; b = 1; c = 1; d = 1; e = 0; f = 1; g = 1; end // 数字9default : begin a = 0; b = 0; c = 0; d = 0; e = 0; f = 0; g = 0; end // 默认显示为空白endcaseendendmodule3.组合计数器和驱动器模块module displayinput clk, // 时钟信号output reg[3:0] count, // 计数器模块的输出output reg a, b, c, d, e, f, g // 驱动器模块的输出counter counter_inst(.clk(clk), .count(count)); // 实例化计数器模块decoderdecoder_inst(.count(count), .a(a), .b(b), .c(c), .d(d), .e(e), . f(f), .g(g)); // 实例化驱动器模块endmodule四、实验结果与分析通过连接计数器和驱动器模块,使用相同的时钟信号,即可实现数字计数和显示的功能。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
真 值 表
逻辑表达式
Y2 I 7 I7 Y I 1 7 I7 Y I 7 0 I7
I7 I6 I7 I6 I5 I7 I6 I5 I 4 I6 I5 I 4 I7 I6 I7 I6 I5 I 4 I3 I7 I6 I5 I 4 I3I 2 I6 I5 I 4 I3 I5 I 4 I 2 I 7 I 6 I 5 I 7 I 6 I 5 I 4 I 3 I 7 I 6 I 5 I 4 I 3 I 2 I1 I 6 I 5 I 6 I 4 I 3 I 6 I 4 I 2 I1
1
Y
≥1
Y2
逻辑表 达式
Y1 A B C Y2 A B Y Y3 Y1 Y2 B A B C A B B Y3 Y1 Y2 B
最简与或 表达式
Y ABC AB B AB B A B
10
1
最简与或 表达式
5
化 简
4 5
Y= AB +AC
A B A C & &
Y AB AC
6
逻辑变换
6
逻辑电 路图
&
Y
小 结
①组合电路的特点:在任何时刻的输出只取决于当 时的输入信号,而与电路原来所处的状态无关。实现 组合电路的基础是逻辑代数和门电路。 ②组合电路的逻辑功能可用逻辑图、真值表、逻辑 表达式、卡诺图和波形图等5种方法来描述,它们在本 质上是相通的,可以互相转换。 ③组合电路的设计步骤:逻辑图→写出逻辑表达式 →逻辑表达式化简→列出真值表→逻辑功能描述。 ④组合电路的设计步骤:列出真值表→写出逻辑表 达式或画出卡诺图→逻辑表达式化简和变换→画出逻 辑图。 在许多情况下,如果用中、大规模集成电路来实现 组合函数,可以取得事半功倍的效果。
真值表
A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 Y 1 1 1 1 1 1 0 0
电路的逻辑功能
电路的输出Y只与输入A、B 有关,而与输入C无关。Y和A、 B的逻辑关系为:A、B中只要一 个为0,Y=1;A、B全为1时, Y=0。所以Y和A、B的逻辑关系 为与非运算的关系。
逻辑图 8 线 -3 线 优 先 编 码 器
I7 Y2 ≥1 & Y1 ≥1 & Y0 ≥1
1 I6
1 I5
1 I4 I3
1 I2 I1 I0
如果要求输出、输入均为反变量,则只要在图中 的每一个输出端和输入端都加上反相器就可以了。
2、集成3位二进制优先编码器
集成3位二进制优先编码器74LS148
集成3位二进制优先编码器74LS148的真值表
输 入 输 出
ST
1 0 0 0 0 0 0 0 0 0
I7
I6
I5
பைடு நூலகம்
I4
I3
I2
I1
I0
Y2
1 1 0 0 0 0 1 1 1 1
Y1
1 1 0 0 1 1 0 0 1 1
Y 0 Y E X YS
1 1 0 1 0 1 0 1 0 1 1 1 0 0 0 0 0 0 0 0 1 0 1 1 1 1 1 1 1 1
A 0 B 0 0 1 1 C 0 1 0 1
穷 举 法
1
Y
0 0 0 0
A 1 1 1 1
B 0 0 1 1
C 0 1 0 1
Y 0 1 1 1
真值表
2
0 0 0
2
逻辑表达式 Y m5 m6 m7 AB C ABC ABC
3
3
卡诺图
化 简
4
AB C 0 1
00
01
11
1 1
输入:逻辑0(低电平)有效
输出:逻辑0(低电平)有效
*集成3位二进制优先编码器74LS148的级联
Y0 &
Y1 &
Y2 &
Y3
YEX &
Y0 Y1 Y2 YS 低位片
YEX
Y0 Y1 Y2 YS 高位片
YEX
ST
ST
I0 I1 I2 I3 I4 I5 I6 I7 I0 I1 I2 I3 I4 I5 I6 I7
优先级别从 I9 至 I0 递降
逻辑表达式
Y3 I 9 I 9 I 8 I 9 I 8 Y2 I 9 I 8 I 7 I 9 I 8 I 7 I 6 I 9 I 8 I 7 I 6 I 5 I 9 I 8 I 7 I 6 I 5 I 4 I I I I I I I I I I I I 9 8 7 9 8 6 9 8 5 9 8 4 Y1 I 9 I 8 I 7 I 9 I 8 I 7 I 6 I 9 I 8 I 7 I 6 I 5 I 4 I 3 I 9 I 8 I 7 I 6 I 5 I 4 I 3 I 2 I9 I8 I 7 I9 I8 I 6 I9 I8 I5 I 4 I3 I9 I8 I5 I 4 I 2 Y0 I 9 I 9 I 8 I 7 I 9 I 8 I 7 I 6 I 5 I 9 I 8 I 7 I 6 I 5 I 4 I 3 I 9 I 8 I 7 I 6 I 5 I 4 I 3 I 2 I1 I 9 I 8 I 7 I 8 I 6 I 5 I 8 I 6 I 4 I 3 I 8 I 6 I 4 I 2 I1
输 I7 I6 I5 I4 I3 入 I2 I1 I0 输 Y2 1 1 1 1 0 0 0 0 Y1 1 1 0 0 1 1 0 0 出 Y0 1 0 1 0 1 0 1 0
1 × × × × × × × 0 1 × × × × × × 0 0 1 × × × × × 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 × × × × 1 0 0 0 × × × 1 0 0 × × 1 0 × 1
& & & &
Y
最简与或 表达式
4
A
B
用异或门加 非门实现
逻辑变换
5
Y A B
=1
Y
A B
逻辑电路图
电路功 能描述
例:用与非门设计一个举重裁判表决电路。设举重 比赛有3个裁判,一个主裁判和两个副裁判。杠铃完 全举上的裁决由每一个裁判按一下自己面前的按钮 来确定。只有当两个或两个以上裁判判明成功,并 且其中有一个为主裁判时,表明成功的灯才亮。 1 设主裁判为变量A,副裁判分别为B和C;表示 成功与否的灯为Y,根据逻辑要求列出真值表。
设楼上开关为A,楼下开关为B,灯泡为Y。并设A、B 合向左侧时为0,合向右侧时为1;灯亮时Y为1,灯灭时 Y为0。根据逻辑要求列出真值表。
A B 0 1 0 1 Y 1 0 0 1
1
真值表
0 0 1 1
2
2
逻辑表达式 或卡诺图
化 简 3
Y AB AB
用与非 门实现
已为最简与 或表达式
Y AB AB
ST
I7 (b)
I6 I5 I4
I3 I2 I1 I0
引脚排列图
逻辑功能示意图
ST为使能输入端,低电平有效。YS为使能输出端,通常接至低 位芯片的端。YS和ST配合可以实现多级编码器之间的优先级别 的控制。YEX为扩展输出端,是控制标志。 YEX =0表示是编 码输出; YEX =1表示不是编码输出。
I0 I1 I2 I3 I4 I5 I6 I7 I8 I9 I10 I11 I12 I13 I14 I15
16线-4线优先编码器
优先级别从 I15 ~ I 0 递降
3、8421 BCD码优先编码器
真值表
I9 1 0 0 0 0 0 0 0 0 0 I8 1 0 0 0 0 0 0 0 0 I7 I6 I5 I4 I3 I2 I1 I0 Y3 1 1 0 0 0 0 0 0 0 0 Y2 0 0 1 1 1 1 0 0 0 0 Y1 0 0 1 1 0 0 1 1 0 0 Y0 1 0 1 0 1 0 1 0 1 0 × × × × × × × × × × × × × × × × × 1 0 0 0 0 0 0 0 × × × × × × × 1 × × × × × × 0 1 0 0 0 0 0 0 0 0 0 0 × × × × × 1 0 0 0 0 × × × × 1 0 0 0 × × × 1 0 0 × × 1 0 × 1
C 0 1 0 1 0 1 0 1
Y 0 0 0 1 0 1 1 1
4
真值表
4
电路的逻 辑功能
当输入A、B、 C中有2个或3 个为1时,输 出Y为1,否 则输出Y为0。 所以这个电路 实际上是一种 3人表决用的 组合电路:只 要有2票或3票 同意,表决就 通过。
例:
逻辑图
A B C 1
≥1
Y1
≥1 Y3
用与非门实现
Y A B AB
A B C & Y
二、 组合逻辑电路的设计方法
电路功 能描述
穷 举 法
例:设计一个楼上、楼下开关的控制逻辑电路 来控制楼梯上的路灯,使之在上楼前,用楼下 开关打开电灯,上楼后,用楼上开关关灭电灯; 或者在下楼前,用楼上开关打开电灯,下楼后, 用楼下开关关灭电灯。 1
案例1 编码器
一、 二进制编码器 二、 二-十进制编码器 三、 优先编码器 退出
实现编码操作的电路称为编码器。
一、 二进制编码器
3位二进制编码器
输入 I0 输 出 Y2 0 0 0 0 1 1 1 1 Y1 0 0 1 1 0 0 1 1 Y0 0 1 0 1 0 1 0 1