常用光敏电阻的规格参数

常用光敏电阻的规格参数
常用光敏电阻的规格参数

常用光敏电阻的规格参数

光敏电阻的物理特性

Ⅰ.光敏电阻的物理特性 光敏电阻:常用的制作材料为硫化镉,另外还有硒、硫化铝、硫化铅和硫化铋等材料。这些制作材料具有在特定波长的光照射下,其阻值迅速减小的特性。这是由于光照产生的载流子都参与导电,在外加电场的作用下作漂移运动,电子奔向电源的正极,空穴奔向电源的负极,从而使光敏电阻器的阻值迅速下降。Ⅱ.组成特性 光敏电阻器是利用半导体的光电导效应制成的一种电阻值随入射光的强弱而改变的电阻器,又称为光电导探测器;入射光强,电阻减小,入射光弱,电阻增大。还有另一种入射光弱,电阻减小,入射光强,电阻增大。 Ⅲ.作用 光敏电阻器一般用于光的测量、光的控制和光电转换(将光的变化转换为电的变化)。常用的光敏电阻器硫化镉光敏电阻器,它是由半导体材料制成的。光敏电阻器对光的敏感性(即光谱特性)与人眼对可见光(0.4~0.76)μm的响应很接近,只要人眼可感受的光,都会引起它的阻值变化。设计光控电路时,都用白炽灯泡(小电珠)光线或自然光线作控制光源,使设计大为简化。 根据光敏电阻的光谱特性,可分为三种光敏电阻器:紫外光敏电阻器、红外光敏电阻器、可见光光敏电阻器。 Ⅳ.参数特性 (1)光电流、亮电阻。光敏电阻器在一定的外加电压下,当有光照射时,流过的电流称为光电流,外加电压与光电流之比称为亮电阻,常用“100LX”表示。(2)暗电流、暗电阻。光敏电阻在一定的外加电压下,当没有光照射的时候,流过的电流称为暗电流。外加电压与暗电流之比称为暗电阻,常用“0LX”表示。(3)灵敏度。灵敏度是指光敏电阻不受光照射时的电阻值(暗电阻)与受光照射时的电阻值(亮电阻)的相对变化值。 (4)光谱响应。光谱响应又称光谱灵敏度,是指光敏电阻在不同波长的单色光照射下的灵敏度。若将不同波长下的灵敏度画成曲线,就可以得到光谱响应的曲线。 (5)光照特性。光照特性指光敏电阻输出的电信号随光照度而变化的特性。从光敏电阻的光照特性曲线可以看出,随着的光照强度的增加,光敏电阻的阻值

60进制计数器设计

《数字电子技术基础》课程设计任务书 专业:16电气工程及其自动化 班级:专升本二班 学号:160732060 姓名:王冬 指导教师:耿素军 二零一六年十二月二十七日

目录 1、计数器的概述 (3) 2、六十进制计数器 (4) 2.1设计要求 (4) 2.2设计方案框架图 (4) 3、六十进制计数器设计描述 (5) 3.1设计的思路 (5) 3.2设计的实现 (7) 4、六十进制计数器的仿真设计与仿真的结果 (10) 4.1基本电路分析仿真设计 (11) 4.2 计数器电路的仿真的结果 (12) 5、心得体会 (13) 6、参考文献 (13)

1、计数器概述 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。 在数字电子技术中应用的最多的时序逻辑电路。计数器不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行数字运算等。但是并无法显示计算结果,一般都是要通过外接LCD或LED屏才能显示。 计数器的种类 1.按照计数器中的触发器是否同时翻转分类,可将计数器分为同步计数器和异步计数器两种。 2.按照计数过程中数字增减分类,又可将计数器分为加法计数器、减法计数器和可逆计数器,随时钟信号不断增加的为加法计数器,不断减少的为减法计数器,可增可减的叫做可逆计数器。

常用光敏电阻的规格参数

常用光敏电阻的规格参数 超高亮LED/5毫米聚光圆头紫光紫外光光触媒LED灯珠/发光二极管芯片来源: 芯片全部由国外进口,封装方式为环氧树脂 紫光LED性能参数: 1、发光波段:400-405nm 2、工作电压:3.2-3.6V 3、工作电流:20mA 4、光强参数:150-200mcd 5、芯片功率:3-4mW 高质量进口灯:3528(仪表改装)1210LED蓝光贴片发光二极管 ·产品型号:1210(3528) ·产品体积:3.5*2.8*1.9 ·产品波长:452-462NM ·产品亮度:750-800MCD ·电压:3.0-3.4V ·电流:20MA ·焊接温度:250 ·发光角度:120

超高亮度发光二极管5mm白光草帽LED 5流明白光 LED参数: 电压:3.0-3.2v 电流:20mA 发光强度:1500-1800mcd(4-5流明) 发光角度:120度(散光) 色温:6000-7000K(正白光) 蓝色聚光led灯珠/LED/LED灯/led发光二极管,led节能灯专用无光衰0.32元

宝贝参数: 额定电压:3.0V-3.4V 额定电流:20毫安 亮度:5000mcd 光型:蓝色聚光 发光角度:20度 波长:465-468 光衰:首1000小时内无光衰,千小时光衰3‰。 千小时光衰值:即:在有效使用寿命内,以千小时为单位的平均光衰值。这一标准更能充分体现灯珠的使用寿命、长效性等综合品质。 宝贝应用参数: 工作电压:3.0-3.4V; 工作电流:14-16mA ; 工作温度:-20℃-+40℃; 焊接温控:240-260℃,请在离灯管底部1.5mm以上进行焊接,烙铁头温度不得高于280℃,焊接停留时间不得超过2秒; 5mm大草帽白色LED发光二极管 LED灯泡 0.12元 主要参数: 光管直径 5 mm 波长范围 6 2 0 - 6 2 5 nm 发光颜色白色 外观颜色白色透明 发光角度 140 度 发光强度 1000-1200 mcd 正常工作电压 3.2-3.4 V 正常工作电流 20 mA 最大反向电压 5 V 产地:深圳

光敏电阻检测光照亮度 - 副本

光敏电阻检测光照亮度 Photosensitive resistance measurement of light brightness 摘要:本文设计了一个光照强度自动检测系统,可分光照检测部分、信号处理部分、光强显示部分、报警部分。光照检测部分可利用光敏电阻传感器作为检测元件。输入信号处理后,就可以用来显示了。显示部分可利用发光二极管来显示,不同的光强对应于不同的发光二极管点亮,就能简单的显示出不同的光强了。关键词:光照强度;光敏电阻;发光二极管; Abstract:This paper describes the design of a light intensity automatic detection system,can be divided into light detecting part,signal processing part,display part,an alarm part intensity.The light detecting part can use photosensitive resistance sensor as the detecting element.After the input signal processing,can be used to display the.The display part can make use of the light-emitting diode to display,the light intensity corresponding to the light emitting diode light up different,can be simply show a different intensity. Keywords:Light intensity;Photosensitive resistance;Light emitting diode; 0前言 光照强度自动检测显示系统,该系统可以自动检测光照强度的强弱并显示让人们知道此时光照强度的强弱。人们可以设定光照强度的范围,一旦超出此范围该系统可以发出警报通知或直接采取措施使光照强度在此范围内。人们可以通过看此装置的显示了解现在的光照状态,做合理的光照调节。该设计可分为三部分:即光照检测部分、信号处理部分、光强显示部分。还可加上报警部分。对于光照检测部分可利用光敏电阻传感器作为检测元件,它可以完成从光强到电阻值的信号转换,再把电阻值转换为电信号就可以作为系统的输入信号。对输入信号处理后,就可以用来显示了。对于显示部分可利用发光二极管来显示,不同的光强对应于不同的发光二极管点亮,就能简单的显示出不同的光强了。 1设计要求 本文设计一个光照强度自动检测、显示、报警系统,实现对外界三种不同条件下光强的分档指示和报警(弱、适宜、强)。 1、根据题目选定光照强度自动检测所用的光电传感器类型 (1)自己设计至少三种以上不同光照条件,测定不同光照条件下光电传感器的输出; (2)传感器测量电路采用集成运算放大器构成的比较器完成,完成至少三种以上不同光照条件下显示报警系统方案的论证和设计;

60进制计数器课程设计报告

电子技术基础实验 课程设计 60进制计数器

一、实验目的 (一)掌握中规模集成计数器74LS161的引脚图和逻辑功能。 (二)熟悉555集成定数器芯片的引脚图。 (三)利用74LS161和555定时器构成60进制计数器。 (四)在Multisim软件中仿真60进制计数器。 二、实验容 (一)集成计数器74LS161逻辑功能验证。 (二)用555定时器构成多谐振荡器。 (三)用两片74LS161和555定时器构成60进制计数器。 三、集成计数器介绍 (一)集成计数器74LS161管脚介绍 74LS161是4位二进制同步加法计时器。图1为它的管脚排列图,集成芯片74LS161的CLR是异步清零端(低电平有效),LOAD是异步预置数控制端(低电平有效)。CLK是时钟脉冲输入端,RCO是进位输出端,ENP、ENT是计数器使能端,高电平有效。A、B、C、D是数据输入端; QA、QB、QC、QD是数据输出端。

图1 74LS161管脚排列图 (二)集成计数器74LS161功能介绍 由表1可知,74LS161具有以下功能: 1.异步清零。当CLR=0时,无论其他各输入端的状态如何,计数器均被直接置“0”。 2.同步预置数。当CLR=1、LOAD=0且在CP上升沿作用时,计数器将ABCD同时置入QA、QB、QC、QD,使QA、QB、QC、QD=ABCD。 3.保持(禁止)。CLR=LOAD=1且ENP、ENT=0时,无论有无CP脉冲作用,计数器都将保持原有的状态不变(停止计数)。 4.计数。CLR=LOAD=ENP=ENT=1时,74LS161处于计数状态。 表1 74LS161功能表

光敏电阻检测光照亮度资料

摘要 本题设计一个光照强度自动检测、显示、报警系统,实现对外界三种不同条件下光强的分档指示和报警(弱、适宜、强)。使用光敏电阻光照强度的测量并进行显示,采取单片机对光敏电阻输出变化进行处理转换成数字量再使用数码管进行显示。在单片机上加外围器件三个LED,通过采样到的光照射强度选择,在数码管上显示电压的大小。本设计具有有线路简单、结构紧凑、价格低廉、性能优越等特点。 关键词:光照亮度;光敏电阻;单片机;数码管器

Abstract Subject to design a light intensity to be automatic detection, display, alarm system, the realization on the outside three different conditions of light intensity FenDang instructions and alarm (weak, appropriate, stronger). Use photoconductive resistance of light intensity measurement that take the monolithic integrated circuit to change photoconductive resistance output processing converted into digital quantity to use digital tube displayed. In the single peripheral devices with three LED, by sampling the light to illuminate intensity choice, in digital tube display voltage size. This design has a simple lines, compact structure, low prices, superior performance etc. Characteristics. Key words: light brightness; Photoconductive resistance; Single chip microcomputer; Digital pig

光敏电阻 工作原理、类型及主要参数图文说明

光敏电阻工作原理、类型及主要参数图文说明 光敏电阻器是利用半导体的光电导效应制成的一种电阻值随入射光的强弱而改变的电阻器,又称为光电导探测器。所谓光电导效应是指物质吸收了光子的能量产生本征吸收或杂质吸收,引起载流子浓度的变化,从而改变了物质电导率的现象称为光电导效应。利用具有光电导效应的材料(如Si、Ge等本征半导体与杂质半导体,以及CdS、CdSe、PbS等)可以制成电导率随入射光辐射量变化而变化的器件,这类器件被称为光电导器件或光敏电阻,简称PC。光敏电阻器在电路中用字母“R”或“RL”、“RG”表示,下图1.19为光敏电阻符号和实物图示。 (a)逻辑符号(c)实物 图1.19 光敏电阻 一、光敏电阻结构 在光敏电阻的半导体光敏材料两端装上电极引线,将其封装在带有透明窗的管壳里就构成光敏电阻。下图为光敏电阻的封装结构。 玻璃金属壳 电极CdS或CdSe 陶瓷基座 引线 金属基座 (a)结构(b)顶部视图 图1.20 光敏电阻结构 按光敏电阻的电极及光敏材料封装形状,光敏电阻分为梳状结构、蛇形结构、刻线式结

构。如下图1.21所示。 注:1.光电材料;2.电极;3.衬底材料 (a)梳状结构(b) 蛇形结构(c) 刻线式结构 图1.21 光敏材料形状 梳型结构:在玻璃基底上面蚀刻成互相交叉的梳状槽,在槽内填入黄金或石墨等导电物质,在表面再敷上一层光敏材料。如图所示。 蛇形结构:光电导材料制成蛇形,光电导两侧为金属导电材料,并在其上设置电极。 刻线结构:在玻璃基片上镀制一层薄的金属箔,将其刻划成栅状槽,然后在槽内填入光敏电阻材料层后制成。 二、光敏电阻工作原理 在光敏电阻的光敏材料中,由于受不同光照会产生不同电子空穴。在光敏电阻两端的金属电极加上电压,其中便有电流通过,受到一定波长的光线照射时,电流就会随光强的增大而变大,从而实现光电转换。光敏电阻没有极性,纯粹是一个电阻器件,使用时既可加直流电压,也加交流电压。半导体的导电能力取决于半导体导带内载流子数目的多少。其工作过程如下图1.22所示。 图1.22 光敏电阻工作原理【放置动画】 三、光敏电阻主要参数 根据光敏电阻的光谱特性,可分为三种光敏电阻器:紫外光敏电阻器、红外光敏电阻器、可见光光敏电阻器。光敏电阻的主要参数是: (1)光电流、亮电阻。光敏电阻器在一定的外加电压下,当有光照射时,流过的电流称

光敏电阻特性测试实验(精)

光敏电阻特性测试实验 一、实验目的 1、学习掌握光敏电阻工作原理 2、学习掌握光敏电阻的基本特性 3、掌握光敏电阻特性测试的方法 4、了解光敏电阻的基本应用 三、实验内容 1、光敏电阻的暗电阻、暗电流测试实验 2、光敏电阻的亮电阻、亮电流测试实验 3、光敏电阻光电流测试实验; 4、光敏电阻的伏安特性测试实验 5、光敏电阻的光电特性测试实验 6、光敏电阻的光谱特性测试实验 7、光敏电阻的时间响应特性测试实验 三、实验仪器 1、光电探测综合实验仪 1个 2、光通路组件 1套 3、光敏电阻及封装组件 1套 4、光照度计 1台 5、2#迭插头对(红色,50cm) 10根 6、2#迭插头对(黑色,50cm) 10根 7、三相电源线 1根 8、实验指导书 1本 四、实验原理 1. 光敏电阻的结构与工作原理 光敏电阻又称光导管,它几乎都是用半导体材料制成的光电器件。光敏电阻没有极性,纯粹是一个电阻器件,使用时既可加直流电压,也可以加交流电压。无光照时,光敏电阻值(暗电阻)很大,电路中电流(暗电流)很小。当光敏电阻受到一定波长范围的光照时,它的阻值(亮电阻)急剧减小,电路中电流迅速增大。一般希望暗电阻越大越好,亮电阻越小越好,此时光敏电阻的灵敏度高。实际光敏电阻的暗电阻值一般在兆欧量级,亮电阻值在几千欧以下。 光敏电阻的结构很简单,图1-1(a)为金属封装的硫化镉光敏电阻的结构图。在玻璃底板上均匀地涂上一层薄薄的半导体物质,称为光导层。半导体的两端装有金属电极,金属电极与引出线端相连接,光敏电阻就通过引出线端接入电路。为了防止周围介质的影响,在半导体光敏层上覆盖了一层漆膜,漆膜的成分应使它在光敏层最敏感的波长范围内透射率最

光敏电阻的主要参数与特性(精)

光敏电阻的主要参数与特性 1.光敏电阻的主要参数 (1)暗电阻 ◆光敏电阻在不受光时的阻值称为暗电阻,此时流过的电流称为暗电流。 (2)亮电阻 ◆光敏电阻在受光照射时的电阻称为亮电阻,此时流过的电流称为亮电流。(3)光电流 ◆亮电流与暗电流之差称为光电流。 2.光敏电阻的基本特性 (1)伏安特性 ◆在一定照度下,流过光敏电阻的电流与光敏电阻两端的电压的关系称为光敏电阻的伏安特性。 硫化镉光敏电阻的伏安特性 (2)光谱特性 ◆光敏电阻的相对光敏灵敏度与入射波长的关系称为光谱特性,亦称为光谱响应。 下图为几种不同材料光敏电阻的光谱特性。对应于不同波长,光敏电阻的灵敏度是不同的。 光敏电阻的光谱特性 (3)光照特性 ◆光敏电阻的光照特性是光敏电阻的光电流与光强之间的关系,如图8-10所示。 ◆由于光敏电阻的光照特性呈非线性,因此不宜作为测量元件,一般在自动控制系统中常用作开关式光电信号传感元件。

光敏电阻的光照特性 (4)温度特性 ◆光敏电阻受温度的影响较大。当温度升高时,它的暗电阻和灵敏度都下降。 ◆温度变化影响光敏电阻的光谱响应,尤其是响应于红外区的硫化铅光敏电阻受温度影响更大。下图为硫化铅光敏电阻的光谱温度特性曲线。 硫化铅光敏电阻的光谱温度特性曲线 (5)光敏电阻的响应时间和频率特性 ◆实验证明,光电流的变化对于光的变化,在时间上有一个滞后,通常用时间常数t来描述,这叫做光电导的弛豫现象。所谓时间常数即为光敏电阻自停止光照起到电流下降到原来的63%所需的时间,因此,t越小,响应越迅速,但大多数光敏电阻的时间常数都较大,这是它的缺点之一。下图所示为硫化镉和硫化铅的光敏电阻的频率特性。 光敏电阻的频率特性

光敏电阻的检测方法

光敏电阻的检测方法 1.用一黑纸片将光敏电阻的透光窗口遮住,此时万用表的指针基本保持不动,阻值接近无穷大。此值越大说明光敏电阻性能越好。若此值很小或接近为零,说明光敏电阻已烧穿损坏,不能再继续使用。 2.将一光源对准光敏电阻的透光窗口,此时万用表的指针应有较大幅度的摆动,阻值明显减些 此值越小说明光敏电阻性能越好。若此值很大甚至无穷大,表明光敏电阻内部开路损坏,也不能再继续使用。 3.将光敏电阻透光窗口对准入射光线,用小黑纸片在光敏电阻的遮光窗上部晃动,使其间断受光,此时万用表指针应随黑纸片的晃动而左右摆动。如果万用表指针始终停在某一位置不随纸片晃动而摆动,说明光敏电阻的光敏材料已经损坏 就只要一台标准发光源和一个精度高一点的电阻仪,否则你就要光通计还有一大堆软硬的东东,我看你好像不会要那么专业吧? 一個照度計,一個暗箱,一個測試治具,一個光敏電阻 感光波长:350~1050nm 亮电流(100LUX):10~80uA 暗电流(0LUX)<0.1uA 响应\结束时间<20 us 应用照度范围:0.1~6000LUX 主要技术参数: 感光峰值波长:550nm 亮电流(100LUX):100 uA ~120uA 暗电流(0LUX)<0.1uA 响应\结束时间<8.5ms 应用照度范围:0.1~6000LUX

产品名称:光敏传感器 序号:164932-808 型(编)号: 更新时间: 2009.03.23 主要技术参数: 感光波长:350~1050nm 亮电流(100LUX):30~90uA 暗电流(0LUX)<0.1uA 响应\结束时间<20 us 应用照度范围:0.1~6000LUX 光敏电阻规格参数

10进制和60进制计数器

十进制计数器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10IS PORT(CLK,RST,EN:IN STD_LOGIC; CQ:OUT STD_LOGIC_VECTOR(3DOWNTO 0); COUT:OUT STD_LOGIC); END CNT10; ARCHITECTURE behav OF CNT10IS BEGIN PROCESS(CLK,RST,EN) VARIABLE CQI: STD_LOGIC_VECTOR(3DOWNTO0); BEGIN IF RST='1'THEN CQI:=(OTHERS =>'0');--计数 ELSIF CLK'EVENT AND CLK='1'THEN IF EN='1'THEN IF CQI<9THEN CQI:=CQI+1; --允许计数, ELSE CQI:=(OTHERS=>'0'); --大于9, END IF; END IF; END IF; IF CQI=9THEN COUT<='1';--计数大于9,输出进位信号 ELSE COUT<='0'; END IF; CQ<=CQI;--将计数值向端口输出 END PROCESS; END behav;六十进制计数器源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt60is port(clk,rst,en:in std_logic; cq:out std_logic_vector(7downto0); cout:out std_logic); end cnt60; architecture behav of cnt60is begin process(clk,rst,en) variable cqi:std_logic_vector(7downto0); begin if rst='1'then cqi:=(others=>'0'); elsif clk'event and clk='1'then if en='1'then if cqi<59then cqi:=cqi+1; else cqi:=(others=>'0'); end if; end if; end if; if cqi=59then cout<='1'; else cout<='0'; end if; cq<=cqi; end process; end behav;

光敏电阻特性

光敏电阻特性 【实验目的】 1. 了解光敏电阻的基本特性。2.测量光敏电阻的伏安特性曲线和光照特性曲线。 【实验仪器】 DH-CGOP1光电传感器实验仪1套(包括灯泡盒,光敏电阻LDR ,九孔板实验箱,1K 电阻);DH-VC3直流恒压源1台;万用表1块;导线若干 【实验原理】 光敏电阻是采用半导体材料制作,利用内光电效应工作的光电元件。它在光线的作用下其阻值往往变小,这种现象称为光导效应,因此,光敏电阻又称光导管。 用于制造光敏电阻的材料主要是金属的硫化物、硒化物和碲化物等半导体。通常采用涂敷、喷涂、烧结等方法在绝缘衬底上制作很薄的光敏电阻体及梳状欧姆电极,然后接出引线,封装在具有透光镜的密封壳体内,以免受潮影响其灵敏度。在黑暗环境里,它的电阻值很高,当受到光照时,只要光子能量大于半导体材料的禁带宽度,则价带中的电子吸收一个光子的能量后可跃迁到导带,并在价带中产生一个带正电荷的空穴,这种由光照产生的电子一空穴对增加了半导体材料中载流子的数目,使其电阻率变小,从而造成光敏电导率增加,电导率的改变量为 p n pe ne σμμ?=?+?\*MERGEFORMAT (1) 式中e 为电荷电量,?p 为空穴浓度的改变量,?n 为电子浓度的改变量,μp 为空穴的迁移率,μn 为电子的迁移率。当光敏电阻两端加上电压U 后,光电流为 ph A I U d σ=?\*MERGEFORMAT (2) 其中A 为与电流垂直的截面积,d 为电极间的距离。由和可知,光照一定时,光敏电阻两端所加电压与光电流为线性关系,呈电阻特性。光照愈强,阻值愈低。入射光消失后,由光子激发产生的电子一空穴对将逐渐复合,光敏电阻的阻值也就逐渐恢复原值。 在光敏电阻两端的金属电极之间加上电压,其中便有电流通过,受到适当波长的光线照射时,电流就会随光强的增加而变大,从而实现光电转换。光敏电阻没有极性,纯粹是一个电阻器件,使用时既可加直流电压,也可以加交流电压。 1.伏安特性

硫化镉光敏电阻

产品名称:CdS(硫化镉)光敏电阻; 产品型号:LXD5516; LXD5528; LXD5537; LXD5549;LXD5516D;LXD5626D;;LXD5637D;LXD5639D;LXD5649D; 产品规格(mm): 5mm 详细参数: LXD5516 最大电压(VDC) :150 最大功耗(MW):90 环境温度:-30~+70 光谱峰值(nm):540 亮电阻(10LUX光源下):5-10 KΩ 暗电阻(MIN)/ MΩ:0.2 LXD5528 最大电压(VDC) :150 最大功耗(MW):100 环境温度:-30~+70 光谱峰值(nm):540 亮电阻(10LUX光源下):8-20 KΩ 暗电阻(MIN)/ MΩ:1.0 LXD5537 最大电压(VDC) :150 最大功耗(MW):100 环境温度:-30~+70 光谱峰值(nm):540 亮电阻(10LUX光源下):30-90 KΩ 暗电阻(MIN)/ MΩ:2.0 LXD5549 最大电压(VDC) :150 最大功耗(MW):100 环境温度:-30~+70 光谱峰值(nm):540 亮电阻(10LUX光源下):45-140 KΩ 暗电阻(MIN)/ MΩ:10.0 LXD5616D 最大电压(VDC) :150 最大功耗(MW):100 环境温度:-30~+70 光谱峰值(nm):560 亮电阻(10LUX光源下):5-10 KΩ 暗电阻(MIN)/ MΩ:1.0 LXD5526D 最大电压(VDC) :150 最大功耗(MW):100 环境温度:-30~+70

光谱峰值(nm):540 亮电阻(10LUX光源下):8-20 KΩ暗电阻(MIN)/ MΩ:2.0 LXD5639D 最大电压(VDC) :150 最大功耗(MW):100 环境温度:-30~+70 光谱峰值(nm):560 亮电阻(10LUX光源下):30-90 KΩ暗电阻(MIN)/ MΩ:8.0 LXD5649D 最大电压(VDC) :150 最大功耗(MW):100 环境温度:-30~+70 光谱峰值(nm):560 亮电阻(10LUX光源下):50-160 KΩ暗电阻(MIN)/ MΩ:20.0 LXD5637D 最大电压(VDC) :150 最大功耗(MW):100 环境温度:-30~+70 光谱峰值(nm):560 亮电阻(10LUX光源下):18-50 KΩ暗电阻(MIN)/ MΩ:5.0 应用范围: ●照相机自动测光 ●室内光线控制 ●工业控制 ●光控灯 ●光控音乐I.C ●光电控制 ●报警器 ●光控开关 ●电子玩具 ●电子验钞机

数字电子实验——60进制计数器

综合性、设计性实验报告电子技术实验(数字电子部分) 报告分数: 学期: 班级: 姓名: 日期:

1. 实验目的 1)学习仿真软件Multisim的使用方法; 2)学习、掌握时序电路的设计方法; 3)掌握常用电子元器件的使用方法; 4)熟练运用用已有集成计数器(M进制)构成任意进制计数器(N进制),M < N 时,多片级联实现的方法; 5)熟悉由555定时器构成的多谐振荡器产生时钟脉冲; 6)了解反馈置数法和反馈清零法的特点及区别,并能熟练运用这两种方法。 2. 预习要求 1)阅读《数字电子技术基础》相关内容,了解集成计数器的原理及功能; 2)熟悉集成计数器74LS161及七段数码显示管的各引脚功能; 3)了解555定时器构成的多谐振荡器产生脉冲的基本原理; 4)对于反馈清零法和反馈置数法有基本的了解。 3. 实验内容 1)在Multisim集成环境中用74LS161和555定时器设计60进制计数器,要求能够实现暂停和置数的功能,并完成其仿真; 2)在模块化电子技术综合实验箱上完成电路搭接与调试; 4. 实验原理 4.1 个位模块 (1)利用反馈置数法,U2(74LS161D)为低位片即个位模块,用A、B、C、D四个输入端的高低电平实现个位预置数; (2)用开关控制U2的EP使能端高低电平实现暂停功能; (3)U2的CP脉冲端连接555定时器构成的多谐振荡器的矩形脉冲输出端;

(4)U2的使能端ET始终接有效的高电平,清零端CR始终接无效的高电平; 因为用的是反馈置数法,U2实现0(0000)~9(1001)的十进制循环,U2的QD和QA段用作二输入与非门U5A(74LS00D)的输入端,其输出端连接到U2的LD上。 (5)U2的四个输出端QD、QC、QB、QA连接U4数码管的D、C、B、A输入端,从而显示0~9这十个状态。 图1 个位模块原理图 4.2 十位模块 (1)利用反馈置数法,U1(74LS161D)为高位片即十位模块,用A、B、C 三个输入端的高低电平实现十位预置数; (2)U1的CP脉冲端连接555定时器构成的多谐振荡器的矩形脉冲输出端; (3)U1的使能端ET、EP始终接有效的高电平,清零端CR始终接无效的高电平; (4)因为用的是反馈置数法,U1实现0(0000)~5(0101)的六进制循环,U1的QC和QA端与个位数的QD和QA端用作四输入与非门U6A(74LS20D)的输入端,其输出端连接到U1的LD上。 (5)U1的四个输出端QD、QC、QB、QA连接U3数码管的D、C、B、A输入端,从而显示0~5这六个状态。

设计60进制计数器数电课程设计资料

电子技术基础实验 课程设计 用74LS161设计六十进制计数器 学院:班级:姓名:学号: 电气工程学院 电自1418 刘科2014303010328

用74LS161设计六十进制计数器 摘要 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。 关键字:60进制,计数器,74LS161,级联

目录 第1章概述 (1) 1.1 计数器设计目的 (1) 1.2 计数器设计组成 (1) 第2章六十进制计数器设计描述 (2) 2.1 74LS161的功能 (2) 2.2 方案框架 (3) 第3章六十进制计数器的设计与仿真 (4) 3.1 基本电路分析设计 (4) 3.2 计数器电路的仿真 (6) 第4章总结 (8)

EDA 60进制计数器的设计

《EDA技术》课程实验报告 学生姓名:黄红玉 所在班级:电信1002 指导教师:高金定老师 记分及评价: 一、实验名称 实验2:60进制计数器的设计 二、任务及要求 【基本部分】4分 1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采 用反馈置数法,完成一个60进制同步计数器的设计,并进行时序仿真。 2、要求具备使能功能和异步清零功能。 3、设计完成后生成一个元件,以供更高层次的设计调用。 4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。 【发挥部分】1分 思考:采用反馈清零法设计的计数器与反馈置数法有何不同?请用实例进行仿真。三、实验程序(原理图)

四、仿真及结果分析 设计60进制与设计24进制的步骤几乎一样。调用两片74160十进制计数器,采用反馈置数法,设计一个60进制同步计数器的思路是,一片74160计数器作为个位计数,一片用来十位计数,要实现同步60进制,则个位接成1001,十位接成0101,再用一个五输入(一段接一个使能信号EN)的与非门同时接到两片74160计数器上的置数端LDN上。把原理图在QuartusII上画成后,进行编译,编译无误后,在新建一个波形文件,添加所有引脚,设置输入引脚的波形,最后在进行波形编译,无误后即可达到想要的60进制。然后再根据EPF10K30E144芯片引脚对照,输入各个输入输出引脚的引脚号,再链接到试验箱检验,观察数码管的显示结果。 五、硬件验证 1、选择模式:模式7 2、引脚锁定情况表: 六、小结 在这次试验中,通过指导老师起初的讲解以及阅读相关课本,我对QuartusII平台有了进一步的了解,初步知道整个设计过程。在设计过程中,许多问题的暴露使得我们不仅对数字电路原理有了更加深刻的了解,也使我们对QuartusII平台的使用规则有了更多的了解。掌握这门技术为今后更多的设计工作打下了基础,我受益匪浅。

EDA60进制计数器设计

《EDA技术》课程实验报告 学生姓名: 所在班级: 指导教师: 记分及评价: 报告满分3分 得分 一、实验名称 实验6:60进制计数器设计 二、任务及要求 【基本部分】 1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成60进制计数器的设计并进行时序仿真。 2、设计完成后生成一个元件,以供更高层次的设计调用。 3、实验箱上进行验证。 【发挥部分】 在60进制基础上设计6进制计数器,完成时序仿真。 三、实验程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sixth is port(clk:in std_logic; co:out std_logic;--jin wei qh:buffer std_logic_vector(3 downto 0);--shi wei ql:buffer std_logic_vector(3 downto 0));--ge wei end entity sixth; architecture art of sixth is begin co<='1'when(qh="0101"and ql="1001")else'0'; process(clk) begin if(clk='1')then if(ql=9)then ql<="0000"; if(qh=5)then

qh<="0000"; else qh<=qh+1; end if; else ql<=ql+1; end if; end if; end process; end architecture art; 四、仿真及结果分析 图6-1 60进制计数器仿真图 用VHDL语言实现一个六十进制计数器,该计数器有计数使能端en,清零端clr和进位输出端co。档en=1时,计数器正常计数;当clr=1时,计数器清零。最后在试验箱上仿真,数码管显示了0到59,则60进制计数器完成。 五、硬件验证 1、选择模式: 2、引脚锁定情况表: 六、小结 1、六进制程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity six is port(clk,en,clr:in std_logic; co:out std_logic;--jin wei qh:buffer std_logic_vector(3 downto 0));--shi wei end entity six; architecture art of six is begin co<='1'when(qh="0101" and en='1')else'0';

1光敏电阻特性参数及其测量

实验2.1 光敏电阻特性参数及其测量 1. 实验目的 通过本实验,认识并学习光敏电阻,掌握光敏电阻的基本工作原理、暗电阻、亮电阻、光照特性等基本参数及其测量方法。 2. 实验器材 ①光电平台主机1台; ②LED光源实验装置1个; ③发光二极管R、G、B、W四色各1个; ④光敏电阻1个; ⑤光电探测实验装置1个; ⑥表座3个; ⑦光电器件支杆3个; ⑧连接线20条; ⑨照度计探头1个; 3.实验原理 光敏电阻器是利用半导体的光电效应制成的一种电阻值随入射光的强弱而改变的电阻器。在黑暗环境里,它的电阻值很高,当受到光照时,只要光子能量大于半导体材料的禁带宽度,则价带中的电子吸收一个光子的能量后可跃迁到导带,并在价带中产生一个带正电荷的空穴,这种由光照产生的电子—空穴对了半导体材料中载流子的数目,使其电阻率变小,从而造成光敏电阻阻值下降。光照愈强,阻值愈低。入射光消失后,由光子激发产生的电子—空穴对将复合,光敏电阻的阻值也就恢复原值。在光敏电阻两端的金属电极加上电压,其中便有电流通过,受到波长的光线照射时,电流就会随光强的而变大,从而实现光电转换。 4. 实验步骤 (1)元件组装 ①将光敏电阻牢固地安插在光电探测实验装置上,将延长接圈拧到装置上,使光敏电阻固定不动且与装置同轴,从光敏电阻实验装置后面引出正负两个电极。 ②将LED发光二极管(白色)牢固地安插在LED光源装置上,二极管的长脚插入白色螺钉一侧的插孔内(正极),短脚插入黑色螺钉一侧的插孔内(负极)。将延长接圈拧到装置上,让LED固定不动且与装置同轴。光源装置后面引出正负两个电极。 ③将光源装置与光敏电阻实验装置相对安装在一起,使LED发出的光恰好被光敏电阻所接收,并能够排除外界杂光的干扰为最好。 ④将照度计探头用支撑杆安装在导轨上。 (2)测量光敏电阻的亮电阻 ①将LED实验装置按照如图1.3-4所示搭建LED供电电路,其中电流表量程为20mA。 ②将光电探测实验装置按照如图1.3-5所示搭建光敏电阻亮电阻测量电路,其中电源+12V电流表量程为200mA,电压表量程为20V。

设计60进制计数器--电子技术基础课程设计

X X 大学 电子技术基础实验 课程设计 用74LS161设计六十进制计数器 学院: 班级: 姓名: 学号:

用74LS161设计六十进制计数器 摘要 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。 关键字:60进制,计数器,74LS161,级联

目录 第1章概述 (1) 1.1 计数器设计目的 (1) 1.2 计数器设计组成 (1) 第2章六十进制计数器设计描述 (2) 2.1 74LS161的功能 (2) 2.2 方案框架 (3) 第3章六十进制计数器的设计与仿真 (4) 3.1 基本电路分析设计 (4) 3.2 计数器电路的仿真 (6) 第4章总结 (8)

光敏电阻简介

(1)光敏电阻的暗电阻、亮电阻、光电流 暗电流:光敏电阻在室温条件下,全暗(无光照射)后经过一定时间测量的电阻值,称为暗电阻。此时在给定电压下流过的电流。 亮电流:光敏电阻在某一光照下的阻值,称为该光照下的亮电阻。此时流过的电流。 光电流:亮电流与暗电流之差。 光敏电阻的暗电阻越大,而亮电阻越小则性能越好。也就是说,暗电流越小,光电流越大,这样的光敏电阻的灵敏度越高。 实用的光敏电阻的暗电阻往往超过1MΩ,甚至高达100MΩ,而亮电阻则在几kΩ以下,暗电阻与亮电阻之比在102~106之间,可见光敏电阻的灵敏度很高。 (2)光敏电阻的光照特性 下图表示CdS光敏电阻的光照特性。在一定外加电压下,光敏电阻的光电流和光通量之间的关系。不同类型光敏电阻光照特性不同,但光照特性曲线均呈非线性。因此它不宜作定量检测元件,这是光敏电阻的不足之处。一般在自动控制系统中用作光电开关。 (3)光敏电阻的光谱特性 光谱特性与光敏电阻的材料有关。从图中可知,硫化铅光敏电阻在较宽的光谱范围内均有较高的灵敏度,峰值在红外区域;硫化镉、硒化镉的峰值在可见光区域。因此,在选用光敏电阻时,应把光敏电阻的材料和光源的种类结合起来考虑,才能获得满意的效果。 (4)光敏电阻的伏安特性 在一定照度下,加在光敏电阻两端的电压与电流之间的关系称为伏安特性。图中曲线1、2分别表示照度为零及照度为某值时的伏安特性。由曲线可知,在给定偏压下,光照度较大,光电流也越大。在一定的光照度下,所加的电压越大,光电流越大,而且无饱和现 象。但是电压不能无限地增大,因为任何光敏电阻都受额定功率、最高工作电压和额定电流

的限制。超过最高工作电压和最大额定电流,可能导致光敏电阻永久性损坏。 (5)光敏电阻的频率特性 当光敏电阻受到脉冲光照射时,光电流要经过一段时间才能达到稳定值,而在停止光照后,光电流也不立刻为零,这就是光敏电阻的时延特性。由于不同材料的光敏, 电阻时延特性不同,所以它们的频率特性也不同,如图。硫化铅的使用频率比硫化镉高得多,但多数光敏电阻的时延都比较大,所以,它不能用在要求快速响应的场合。 (6)光敏电阻的稳定性 图中曲线1、2分别表示两种型号CdS光敏电阻的稳定性。初制成的光敏电阻,由于体内机构工作不稳定,以及电阻体与其介质的作用还没有达到平衡,所以性能是不够稳定的。但在人为地加温、光照及加负载情况下,经一至二周的老化,性能可达稳定。光敏电阻在开始一段时间的老化过程中,有些样品阻值上升,有些样品阻值下降,但最后达到一个稳定值后就不再变了。这就是光敏电阻的主要优点。 光敏电阻的使用寿命在密封良好、使用合理的情况下,几乎是无限长的。

相关文档
最新文档