数字逻辑_习题一_答案

数字逻辑_习题一_答案
数字逻辑_习题一_答案

〈习题一〉作业参考答案

1.4 如何判断一个7位二进制正整数A=a 1a 2 a 3 a 4 a 5 a 6 a 7是否是4的倍数。 答:只要a 6 a 7=00,A 即可被4整除。

1.10设[x]补=01101001,[y]补=10011101,求:1[]2x 补,1[]4x 补,1[

]2y 补,1

[]4

y 补,[]x -补,[]y -补。

答:(1)如[x]补=x 0x 1x 2…x n ,则1

[]2

x 补= x 0x 0x 1x 2…x n-1. x n 。 所以,1[]2x 补=00110100.1,1[]4x 补=00011010.01,1

[

]2

y 补=11001110.1,1

[]4

y 补=11100111.01。 (2)如[x]补=x 0x 1x 2…x n ,[-x]补=012...1n x x x x +。 所以,[]x -补=10010111,[]y -补=01100011。 注意:公式(1)[x]补=x 0x 1x 2…x n ,则1

[]2

x 补= x 0x 0x 1x 2…x n-1. x n

(2)[x]补=x 0x 1x 2…x n ,[-x]补=012...1n x x x x +

一定要掌握。

1.11根据原码和补码的定义回答下列问题: (1)已知[x]补>[y]补,是否有x>y?

(2)设-2n

0,则[x]补>[y]补。但显然x

(2)因为x<0,所以[x]补=2n+1+x ,[x]原=2n

-x ;

要使[x]补=[x]原,则2n+1+x=2n

-x 。从而可以得到:

X=-2(n-1)

注意:因为-2n

+x 。

1.12 设x 为二进制整数,[x]补=11x 1 x 2 x 3 x 4 x 5,若要x <-16,则x 1~x 5应满足什么条件? 答:[x –(-16)]补=[x+16]补=[x]补+10000,若要x <-16,则[x –(-16)]补>1000000,

即[x]补+10000>1000000。根据补码加法,则x 1=0,x 2~x 5任意。 或:

[x]补=27+x ,所以x=[x]补-27

<-16,即11x 1 x 2 x 3 x 4 x 5<112,因此x 1 x 2 x 3 x 4 x 5<16。所以x 1=0,x 2 x 3 x 4 x 5任意。

1.16 完成下列代码之间的转换: (1)(0101 1001 1001 0111.0111)8421BCD =(5997.7)10。 (2)(359.25)10=(0110 1000 1100.01011)余3。 (3)(1010001110010101)余3=(0111 0000 0110 0010)8421BCD

1.17 试写出下列二进制数的典型格雷码:101010,10111011。 答:典型格雷码的编码规则为:

1n n

i i i

G B G B B +=??

=⊕? 所以101010对应的格雷码为:111111。10111011对应的格雷码为:11100110。

1.18 试给出一位余3码的奇校验海明码。

答:1)根据公式(21)r

r k --= 且余3码对应的k=4,确定校验码位数r=3;

2)设置校验位b 1, b 2, b 3,将他们分别置于1,2,4码位上,并根据分组规则将它们分成3组,如下表所示:

3)列出校验位的表达式(奇校验):

112421343241131

b a a a b a a a b a a a =⊕⊕⊕=⊕⊕⊕=⊕⊕⊕ 计算每组余3码相应的校验位值。完整的余3码海明码表如下表所示: 信息码序号

b 1 b 2 a 1 b 3 a 2 a 3 a 4 0 0 1 0 1 0 1 1 1 0 1 0 0 1 0 0 2 1 0 0 1 1 0 1 3 0 0 0 1 1 1 0 4 1 1 0 0 1 1 1 5 0 0 1 1 0 0 0 6 1 1 1 0 0 0 1 7 0 1 1 0 0 1 0 8 1 0 1 1 0 1 1 9

1

1

1

注意:不能把余3码转换成8421BCD 码,然后再求其海明码。

1.19 设有一信息码字a 1a 2a 3a 4=1010,需用偶校验的海明码进行传送,使给出该信息的海明码。若接收端a 3变为0,如何发现?如何纠正?

答:该信息的海明码为:1011010。若接收端a 3变为0,那么S 3S 2S 1=110(因为a 3对应的码位为6)。直接将第6位(即a 3)取反即可。

注意:S 3S 2S 1指出了错码的码位,而不是a 的下标。

〈习题二〉作业参考答案

2.4 用逻辑代数公理和定理证明: (1)AB AB AB AB ⊕=+ 证明:AB AB ⊕

=AB AB AB AB +g g 异或运算的定义

=()()AB A B A B AB +++g

g 摩根律 =ABA ABB AAB BAB +++ 交换律、分配律 =AB AB AB AB +++ 重叠律、交换律 =AB AB +重叠律

(2)()A B AB AB ⊕=e 证明:()A B AB ⊕e

=()AB AB AB +e 异或运算的定义

=()()AB AB AB AB AB AB +++g g 同或运算的定义 =ABAB ABAB AB AB AB ++g g 分配律、摩根律 =AB AB AB g g 互补律 =AB AB AB ++ 摩根律 =AB B + 分配律、互补律 =A B + 吸收律 =AB 摩根律

(3)A ABC ABC ABC ABC =++g 证明:A ABC g

=()A A B C ++g

摩根律 =()A B C +g 吸收律

=A B A C +g g

分配律 =()()A B C C A C B B +++g g

g g 互补律、0-1律 =)ABC ABC ABC ABC +++ 分配律、交换律 =ABC ABC ABC ++ 分配律、交换律

(4)AB BC A C AB BC AC ++=++

证明:()()()AB C C A A BC A B B C +++++ 互补律、0-1律

=ABC AB A ABC B C C C A C B AB +++++ 分配律、交换律 =AB BC A C ++

(5)1AB AB AB AB +++= 证明:AB AB AB AB +++

=()()A B A B A AB B +++ 结合律 =()()B B B A A B +++ 分配律

=A A + 互补律、0-1律 =1 互补律

2.5 写出下列表达式的对偶式(最好利用对偶定义来求解) (1)()()()F A B A C C DE F =++++ 答:'(())F AB AC C D E F =+++

(2)F A B C B A C B C =+++++++ 答:'F ABCBACBC =

(3)F AB CD DAB =g g

答:'F A B C D D A B =++++++

(4)()()F B A B B A C =⊕+⊕

答:需要了解同或的对偶式为异或,异或的对偶式为同或。 '(())(())F B A B B A C =++e e

(5)()()F C A B D =⊕⊕⊕

答:'()()F C A B D =e e e

2.6 写出下列表达式的反函数(最好利用取反规则来求解) (1)123456(())F x x x x x x =++ 答:123456(())F x x x x x x =+++g g

(2)(())F S W I T C H =+++

答:(())F S W I T C H =++g

g g

(3)()F AB C D EF G =++

答:()(()())F A B C D E F G =++++g

g

(4)()F AB BC A C D =+++

答:()()()F A B B C A CD =+++g

g

2.7回答下列问题:

(1)已知X+Y=X+Z ,那么Y=Z 正确吗?为什么?

答:不正确。若X=1,则Y ,Z 任意取值等式都成立。

(2)已知XY=XZ ,那么Y=Z 正确吗?为什么?

答:不正确。如X=0,则Y ,Z 任意取值等式都成立。

(3)已知X+Y=X+Z ,且XY=XZ ,那么Y=Z 正确吗?为什么?

答:正确。因为X+Y=X+Z ,则X=1或X=0且Y=Z 。若X=1,则由XY=XZ 可得Y=Z 。

(4)已知X+Y=X ?Y ,那么X=Y 正确吗?为什么?

答:正确。X 只能取1或0。若X=1,则等式右边为1,左边为Y ,因此,Y=1,可得X=Y ; 若X=0,则等式左边为Y ,右边为0,因此,Y=0,可得X=Y 。所以,成立。 2.11 用卡诺图判断函数F (A ,B ,C ,D )和G (A ,B ,C ,D )的关系。

F BD AD CD ACD =+++

G BD CD ACD ABD =+++

答:F 的卡诺图如图1,化简后F D =

由此可见,F G =

2.12 用卡诺图化简包含无关最小项的函数和多输出函数: (1)(,,,)(0,2,7,13,15)(1,3,4,5,6,8,10)F A B C D m d =

+∑∑

答:F 的卡诺图如下:

所以,(,,,)F A B C D A BD =+。

(2)414

243(0,2,4,7,8,10,13,15)(0,1,2,5,6,7,8,10)(2,3,4,7)

F m F m F m ?=??=??=??∑∑∑

多输出函数的化简关键在于充分利用各函数之间的共享部分。如上图虚线框所示。 所以化简后的多输出函数应该为:

123F BD ABD ABCD ABCD F BD ACD ABC

F ABC ABCD ABCD

?=+++??

=++??=++??

对于2F 的化简,还要注意化简的标准:不同的与项个数应该最少,不同的变量个数应该最少。

〈习题四〉作业参考答案

4.4 试分析图4.60 所示的码制转换电路的工作原理

答:①写出逻辑表达式

001G B B =⊕ 112G B B =⊕ 223G B B =⊕ 33G B =

因此,上述逻辑电路的功能是把一个四位二进制数转换成了Gray 码。

4.7 设二进制补码 [x]补=x 0x 1x 2x 3x 4,写出下列要求的判断条件:

(1)

1122x x ≤<-或 (2)11114224

x x ≤<-≤<-或

(3)

11118448

x x ≤<-≤<-或 (4)11

0088

x x ≤<-≤<或

答:根据补码定义,若x>y 且x 、y 同号,则[x]补>[y]补。x 0符号位,小数点在x 0后。

因此: (1)

1122

x x ≤<-或 (x 0=0,x 1=1) 或

(x 0=1且x 0.x 1x 2x 3x 4<1.1即x 0=1且x 1=0)

因此,F= x 0⊕x 1。 (2)

11114224

x x ≤<-≤<-或 (0.01≤[x]补<0.1,所以x 0=0 ∧ x 1=0 ∧ x 2=1)

(1.1≤[x]补<1.11,所以x 0=1 ∧ x 1=1 ∧ x 2=0)

因此,012012F x x x x x x =+

(3)

11118448

x x ≤<-≤<-或 (0.001≤[x]补<0.01,所以x 0=0 ∧ x 1=0 ∧ x 2=0 ∧ x 3=1) 或

(1.11≤[x]补<1.111,所以x 0=1 ∧ x 1=1 ∧ x 2=1 ∧ x 3=0)

因此,01230123F

x x x x x x x x =+

(4)11008

8

x x ≤<-≤<或

(0.0000≤[x]补<0.001,所以x 0=0 ∧ x 1=0 ∧ x 2=0 ∧ x 3=0) 或

(1.111≤[x]补<2,所以x 0=1 ∧ x 1=1 ∧ x 2=1 ∧ x 3=1) 因此,01230123F

x x x x x x x x =+

4.12 设计一个能接收两位二进制数Y=y 1y 0,X=x 1x 0,并输出Z=z 1z 0的逻辑电路。当Y=X 时,

Z=11;当Y>X 时,Z=10;当Y <X 时,Z=01。用与非门实现该逻辑电路。

②画出z 0、z 1对应的卡诺图,进行化简。

由此可得,010********z y y x x y x y x y x =++++。

由此可得,1

1010011110z x x y y y x y x y x =++++。

③根据要求的逻辑门类型,进行转换并画出逻辑电路图。

010101011011010101101z y y x x y x y x y x y y x x y x y x y x =++++=

110100111101010011110z x x y y y x y x y x x x y y y x y x y x =++++=

根据上述与非形式,可以用与非门实现该逻辑电路。(图略)

4.13 已知[x]原=x0x1x2,试设计一个逻辑电路,以原码作为输入,要求:当AB=01时,输出反码;当AB=10时,输出补码。

②画出y0、y1、y2对应的卡诺图,进行化简。

所以,000201()()()y AB x AB x x x x =++

1y 和2y 的处理方法同上。

所以,1010112012()()()()y AB x x AB x x x x x x x =+++

所以,2

02022()()()()y AB x x x x AB x =++

根据上述y 0 、y 1 、y 2的函数表达式,可画出相应的逻辑电路图(略)。

4.14 设计一个8421BCD 码十进制数对9的变补电路。要求:写出真值表;给出最简逻辑表达式;画出电路图。

②画出F 1 、F 2 、F 3和F 4对应的卡诺图,进行化简。

所以,1

F

所以,2

F BC BC B C =+=⊕

所以,3

F C =。

所以,4

F D 。 电路图略。

4.17 设计一个组合逻辑电路,其输入为三位二进制数A=A 2 A 1 A 0,输出也为一个三位二进制

数Y=Y 2Y 1Y 0。当A 的值小于2时,Y=0;当2≤A <5时,Y=A+3;当A >5时,Y=A-3。要求用与非门实现该电路。 答:①根据逻辑要求,建立真值表。

②画出Y 0、Y 1 、Y 2对应的卡诺图,进行化简。

所以,2212110212110212110Y A A A A A A A A A A A A A A A A A A =++=++=。

所以1210202121020212102021Y A A A A A A A A A A A A A A A A A A A A A =++=++=。

所以0

102110211021Y A A A A A A A A A A A A =+=+=。

上述表达式已经进行了适当的转换,可以很方便地用与非门来实现。电路图略。

4.18一组合电路有4个输入A 、B 、C 和D (表示4位二进制数,A 为最高位,D 为最低位),

两个输出为X 和Y 。当且仅当该数被3整除时,X=1;当且仅当该数被4整除时,Y=1。求出X 和Y 的逻辑函数,画出最简逻辑电路。 答:①根据逻辑要求,建立真值表。

②画出X 、Y 对应的卡诺图,进行化简。

所以,(0,3,6,9,12,15)X

m =∑。

所以,Y C D =。

逻辑电路图略。

〈习题五〉作业参考答案

5.5 给出逻辑电路图如图5.24所示,试分析该电路的逻辑功能,并给出逻辑功能的真值表。

1

n n

Q S RQ S R +?=+?

?=?

这是一个与由或非门构成的基本R-S 触发器功能一样的触发器。

5.8 写出图5.27所示的各触发器的次态方程。

答:1、1n n D Q Q +== 2、1n n

D Q Q +==

3、11n n n n n

n n n n TQ TQ Q Q Q Q Q Q Q +=+=+=+= 4、1000n n n n

n n n TQ TQ Q Q Q Q Q +=+=+=+= 5、100n n n

n n n n n J Q KQ Q Q Q Q Q Q +=+=+=+=

6、1

0000n n n n

n n J Q KQ Q Q Q Q

+=+=+=+=

5.9 有一触发器的电路结构如图5.28所示,试给出该触发器的状态转移真值表,写出其特征方程。

答:当CP=1时,电路不接受输入信号X ,1

n n Q

Q +=。

当CP=0时,电路接收输入信号X ,1

n Q X +=。

其状态转移真值表如下:

画出卡诺图,进行化简。

由此可得其特征方程为:1

n n

Q CP X CP Q +=?+?。

〈习题六〉作业参考答案

6.5 某一电路有一个输入端x 和一个输出端Z 。当x 连续出现3个0或2个1时,输出Z=1,

且第4个

0或第3个1使输出Z=0。试作出该电路的同步时序逻辑电路的原始状态表。 答:Mealy

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑试卷及答案

计算机学院 第二学期《数字逻辑》 期未考试试卷 A 卷 学号 班级 姓名 成绩 一、填空(每空1分,共14分) 1、(21.5)10=( )2=( )8=( )16 2、若0.1101x =-,则[]x 补=( ) 3、十进制数809对应的8421BCD 码是( ) 4、若采用奇校验,当信息位为10011时,校验位应是( ) 5、数字逻辑电路分为( )和( )两大类 6、电平异步时序逻辑电路的描述工具有( )、( )、( ) 7、函数()()F A B C D =+?+的反函数是( ) 8、与非门扇出系数N O 的含义是( ) 9、若要消除函数(,,)F A B C AB AC =+对应的逻辑电路可能存在的险象,则应增加的冗余项是( ) 二、选择题(每空2分,共16分) 从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内 1、数字系统采用( )可以将减法运算转化为加法运算 A .原码 B .余3码 C .Gray 码 D .补码 2、欲使J-K 触发器在CP 脉冲作用下的次态与现态相反,JK 的取值应为( ) A .00 B .01 C .10 D .11 3、对完全确定原始状态表中的6个状态,A 、B 、C 、D 、E 、F 进行比简,若有(A ,B ),(D 、E )等效,则最简状态表中只有( )个状态 A .2 B .4 C .5 D .6 4、下列集成电路芯片中,( )属于组合逻辑电路 A .计数器74290 B .寄存器74194 C .三一八译码器74138 D .集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A .4 B .5 C .6 D .20 6、用5G555构成的多谐振荡器有( ) A .两个稳态 B .两个暂稳态

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

北邮数字逻辑期中试题及参考答案讲课稿

北京邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级姓名班内序号 题号一二三四五六七八总成绩 分数20 12 10 10 10 20 10 8 得分 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。) 1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳ ) 3.若对4位二进制码(B 3B 2 B 1 B )进行奇校验编码,则校验位C= B 3 ⊕B 2 ⊕B 1 ⊕B ⊕1。 (√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0i j m m =。(╳) 7. CMOS 门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。( √ ) 10.格雷BCD 码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) 11.关于函数F A C BCD AB C =++g ,下列说法中正确的有 B 。 A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD 和ACD 进行消除; C. 存在静态功能冒险,需要加冗余项ABD 和ACD 进行消除; D. 当输入ABCD 从 0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 D 。 A.F G = B.0F G += C.1F G =g D.0F G =e 13.若逻辑函数∑=)6,3,2,1(),,(m C B A F ,∑=)7,5,4,3,2,0(),,(m C B A G ,则 =?G F A 。 A.32m m + B.1 C.AB D.AB 14.若干个具有三态输出的电路输出端接到一点工作时,必须保证 B 。 A.任何时刻最多只能有一个电路处于高阻态,其余应处于工作态。 B.任何时刻最多只能有一个电路处于工作态,其余应处于高阻态。 C.任何时刻至少有一个电路处于高阻态,其余应处于工作态。 D.任何时刻至少有一个电路处于工作态,其余应处于高阻态。 15.可以用来传输连续变化的模拟信号的电路是 D 。 A. 三态输出的门电路。; B. 漏极开路的CMOS 门电路; C. ECL 门电路; D. CMOS 传输门

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

09年数字逻辑期末试卷(A卷)试题及答案

09年数字逻辑期末试卷(A卷)试题及答案

华东师范大学期末试卷(A ) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑______ 学生姓名:___________________ 学 号:___________________ 专 业:___________________ 年级/班级:__________________ 课程性质:公共必修、公共选修、专业必修、专业选修 ………………………………………………………………………………………… 一、填空题 (20分,每空2分) 1. (34.5)10 = ( (1) 11 0100.0101 )8421BCD = ( (2) 100010.1 )2 = ( (3) 2 2.8 )16 。 2. ()Y A B C CD =++的对偶式为___(4)Y ’A C B C A D ''''''=++ 。 3. 在数字系统中,要实现线与功能可选用___(5)OC/OD 门;要实现总线结构可选用___(6)传输 门。 4. 化简F (A,B,C,D )=∑m(3,5,6,7,10)+d (0,1,2,4,8)可得 (7) F =A ’+B ’D ’ 。 5. 已知某左移寄存器,现态为011001,若空位补0,则次态为 (8)110010 。 6. 二进制数(- 10110)2的反码和补码分别为 (9)101001 和 (10)101010 。

二、选择题(20分,每题2分) 1.在下列逻辑部件中.不属于组合逻辑部件的是 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 2.逻辑表达式A+BC = B 。 A.A+C B.(A+B)(A+ C) C.A+B+ABC D.B+C 3.能得出X=Y的是 C A.X+Z=Y+Z B.XZ=YZ C. X+Z=Y+Z且XZ=YZ D.以上都不能 4.为将D触发器转换为T触发器,图中所示电路的虚框内应是 _ A_。 A.同或门B.异或门 C.与非 门D.或非门 5.设A1、A2、A3为三个信号,则逻辑函数 C 能检测出这三个信号中 是否含有奇数个高电平。 A.A1A2A3 B.A1+A2+A3 C.A1⊕A2⊕A3 D.A1+A2A3 6.以下说法正确的是 C A.TTL门电路和CMOS门电路的输入端都可以悬空

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑试卷及答案

数字逻辑试卷及答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) =+的两种标准形式分别为()、 1.逻辑函数Y A B C ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量为5v。若只有最低位为高电平,则输出电压为 ()v;当输入为,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数 P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。(6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1: 地址输入数据输出 A3 A2 A1 A0 D3 D2 D1 D0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

2013《数字逻辑设计》期末考试-试题及参考答案-更新

………密………封………线………以………内………答………题………无………效…… 电子科技大学2012 -2013学年第二学期期末考试 A 卷 课程名称:_数字逻辑设计及应用__ 考试形式:闭卷考试日期: 20 13 年 07 月05 日 考试时长:_120___分钟 课程成绩构成:平时 30 %,期中 30 %,实验0 %,期末 40 % 本试卷试题由___七__部分构成,共__7___页。 题号一二三四五六七八九十合计 得分 I. Fill out your answers in the blanks (3’ X 10=30’) 1. If a 74x138 binary decoder has 110 on its inputs CBA, the active LOW output Y5 should be ( 1 or high ). 2. If the next state of the unused states are marked as “don’t-cares” when designing a finite state machine, this approach is called minimal ( cost ) approach. 3.The RCO_L of 4-bit counter 74x169 is ( 0 or low) when counting to 0000 in decreasing order. 4. To design a "001010" serial sequence generator by shift registers, the shift register should need ( 4 ) bit at least. 5. One state transition equation is Q* = JQ’+K’Q. If we use T flip-flop with enable to complete the equation,the enable input of T flip-flop should have the function EN = ( JQ’+KQ ). (参见课件Flip-flops Transforming Skills.ppt) 6. A 4-bit Binary counter can have ( 16 ) normal states at most, 4-bit Johnson counter with no self-correction can have ( 8 ) normal states, 4-bit linear feedback shift-register (LFSR) counter with self-correction can have ( 16 ) normal states. 7. If we use a ROM, whose capacity is 16 × 4 bits, to construct a 4-bit binary code to gray code converter, when the address inputs are 1001, ( 1101) will be the output. 8. When the input is 10000000 of an 8 bit DAC, the corresponding output voltage is 2V. The output voltage is

《数字电路与数字逻辑》期末考试试卷A卷(1)

广西科技大学2015—2016学年第 1 学期课程考核 试题 考核课程数字电路与数字逻辑( A 卷)考核班级软件141、142 学生数 80 印数 85 考核方式闭卷考核时间 120 分钟 1、 选择题(每题2分,共20分) 1. 数字9的BCD码是1001,那么它的余三码是( A ) A 1100 B 1001 C 0110 D 1111 2. 若输入变量A、B全为1时,输出F为1,其余情况下,F为0,那么F与AB的关系是( B ) A B C D 3. 二进制数1100转换成十六进制数是( C ) A 12H B 0AH C 0BH D 0CH 4. 逻辑表达式( C ) A B C D 5. 组合逻辑电路通常由( A )组合而成。 A 门电路 B 计数器 C 触发器 D 寄存 器 6. 时序逻辑电路中一定包含( C ) A 门电路 B 计数器 C 触发器 D 寄 存器 7. 有2个与非门构成的基本RS触发器,如果要触发器输出保持不变,则( ) A B C D 8. T触发器,如果T端为高电平,那么CP脉冲来的时候,输出Q应( ) A 为0 B 为1 C 保持不变 D 翻

转 9. 时序逻辑电路输出状态的改变( ) A 仅与该时刻输入信号的状态有关 B 仅与时序电路的原状 态有关 C 与时序电路的原状态无关 D 与A、B两项皆有关 10. 以下( B )不是解决组合逻辑电路中竞争冒险现象的方法。 A 增加冗余项 B 使用卡诺图化简 C 增加选通脉冲 D 改变设计方法 2、 使用卡诺图法化简以下逻辑函数(每题5分,共20分) 1. 2. 3. 4. 3、 问答题(每题10分,共40分) 1. 分析下图所示的组合逻辑电路。 1) 写出逻辑表达式。(4分) 2) 画出真值表。(3分) 3) 说明其逻辑功能。(3分) 2. 现有一个T触发器,请使用必要的门电路将其转换成一个JK触发器。 3. 现有5个D触发器连接如下,RST是清零信号,清零之后 5个触

相关文档
最新文档