8位全加器的设计与实现

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验二 8位全加器的设计与实现

[实验目的]

1 掌握Quartus II 环境下原理图输入、编译综合、仿真、引脚锁定、下载及硬件

测试测试方法;

2 掌握Quartus II 对FPGA 的设计方法。

3 学习8位全加器原理图的设计,掌握Quartus II 原理图层次化设计方法。 [实验仪器]

Pentium PC 机 、EDA 实验箱 各一台 Quartus II 6.0软件 [实验内容]

采用Quartus II 原理图输入方式及层次化设计方法设计8位全加器并进行器件编程、检测。

1. 完成全加器的设计(包括原理图输入、编译综合、适配、仿真并将它们设置成硬件符号入库)。

2. 建立顶层原理图文件。采用已产生的全加器元件设计一个8位串行全加器电路,并完成编译综合、适配、仿真和硬件测试。

一、一位全加器

每个全加器有三位输入,分别是加数A,B 和一个进位位CI 。将这三个数相加,得

出全加和数D 和进位数CO 。这个过程称为”全加”,全加器的真值表参见表1。

全加器的真值表1 A B CI CO D

0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1

1

由表2得: ABCI CI AB CI B A BCI A CO +++= D ABCI ABCI ABCI ABCI =+++ 可用两个四选一芯片完成。原理图如下:

A

BCI

1

0001111011

1

cout D0D1D2D31

A

BCI

1

00011110111S D0D1D2

D3

1

可得:

Cout 的连接方式如下: D0=0;D1=CI=D2;D3=1 S 的连接方式如下: D0=CI;D1=CI =D2;D3=CI

选用两片4选1,可绘制全加器如图1所示。

VCC

ci

INPUT VCC A INPUT VCC

B

INPUT S

OUTPUT

COUT

OUTPUT S0D2S1D3D0INH D1

Q

MUX41

inst9

NOT

inst10

S0D2S1D3D0INH D1Q MUX41

inst

GND

VCC

图1一位全加器

1. 为全加器项目工程设计建立文件夹

Windows 环境下在D :盘建立8位加法器设计项目的文件夹,取名为adder8, 路径为d :\adder8。 2. 输入原理图文件

(1) 打开Quartus II ,选择菜单File →New 。在New 窗口中的Device Design Files

中选择硬件设计文件类型为Block Diagram/Sxhematic File ,单击OK 按钮后进入Quartus II 图形编辑窗口。

(2) 选择输入元件项Inset →Symbol ,分别调入mux41、not 元件及输入、输出端口,参照图上图合理布局、布线,最后输入各引脚名:A 、B 、ci 和s 、cout 。

(3) 原理图文件存盘,注意应选择刚才建立的文件夹

d:\adder8,将已设计好的原理图

文件取名为has.bdf,点击OK 存盘。存盘后Quartus II 弹出“Do you want to create a new project with this file?”

窗口,窗口选择“是”,将进入建立新工程项目操作。(具体操作见第3点) 3、 建立新工程项目

如在前一步操作中选择“否”的话,可按下面的操作建立新工程项目。建立工程项目

包括工程名、目标器件、综合器、仿真器等的设置。

点击File →New Project Wizard 命令, 完成指定工作目录、指定工程名称等的设置,点击Next 按钮, 在弹出的Add File 对话框的File Name 栏内选择加入has.bdf 文件,下面栏内同时默认该文件。再按Next 按钮,弹出目标芯片选择窗口,在该窗口内选择Cyclone 系列EP1C3TC144C8目标芯片(见图24).

4 编译原理图文件并将该设计设置成可调用的元件

1、选择主窗口Processing 菜单→Start Compilation 项编译综合全加器设计文件。

2、选择菜单File →Create/Update →Create Symbol Files for Current File 项,即可将当前文件设置成一个元件符号(名为has),以待在高层设计中调用。 二、8位全加器设计

选择菜单File →New ,在New 窗口中的Device Design Files 中选择硬件设计文件类型为Block Diagram/Sxhematic File ,单击OK 按钮后进入Quartus II 图形编辑窗口。

在原理图编辑窗口双击鼠标右键在弹出菜单栏内选Inset →Symbol ,于是将弹出输入元件的对话框,调出全加器元件。

该8位全加器由8个1位全加器采用串行方式实现,即将低位加法器的进位输出co 与相临的高位加法器的最低进位输入信号ci 相接。

完成8位全加器顶层原理图文件的设计、编辑、编译综合、适配、仿真和硬件测试工作。

VCC

A[8..1]

INPUT VCC

B[8..1]

INPUT S[8..1]

OUTPUT C 8

O U T P U T

c i A B

S C O U T

a d d e r

i n s t

c i A B

S C O U T

a d d e r

i n s t 3

c i A B

S C O U T

a d d e r

i n s t 4

c i A B

S C O U T

a d d e r

i n s t 7

c i A B

S

C O U T

a d d e r

i n s t 6

c i A B

S C O U T

a d d e r

i n s t 5

c i A B

S C O U T

a d d e r

i n s t 1

c i A B

S C O U T

a d d e r

i n s t 2

A [1]

B [1]

A [2]

B [2]

A [3]

B [3]

A [4]

B [4]

A [5]

B [5]

A [6]

B [6]

A [7]

B [7]

A [8]

B [8]

S [8]

S [7]

S [6]

S [5]

S [4]

S [3]

S [2]

S [1]

图2.4 目标芯片设置

相关文档
最新文档