EDA论文用程序输入法设计16位二进制加法计算器

EDA论文用程序输入法设计16位二进制加法计算器
EDA论文用程序输入法设计16位二进制加法计算器

用程序输入法设计16位二进制加法计算器班级 xxxx 姓名 xxx 学号 xxxxx

内容提要:

计数器是数字系统中使用较多的一种时序逻辑器件。计数器的基本功能是统计时钟脉冲的个数,即对脉冲实现计数操作。计数器也可以作为分频、定时、脉冲节拍产生器和脉冲序列产生器使用。计数器的种类很多,按构成计数器中的各触发器是否使用一个时钟脉冲源来分,可分为同步计数器和异步计数器;按进位体制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;按计数过程中数字增减趋势的不同,可分为加法计数器、减法计数器和可逆计数器;还有可预制数和可编计数器等等。本次课程设计将利用众多集成电路软件软件中的Quartus II软件,使用VHDL语言编程完成论文《用程序输入方法设计一个16位二进制加法计数器》,调试结果表明,所设计的计数器正确实现了计数功能。

关键词:二进制;加法计数器;VHDL语言

1问题分析

计数器是数字系统中使用较多的一种时序逻辑器件。计数器的基本功能是统计时钟脉冲的个数,即对脉冲实现计数操作。其工作原理可概述为:当输入时钟脉冲的一个上升沿(也可以是下降沿)来临时,二进制数据的低一位加1(或减1),并向高位进1(或借1)。在没

有外部约束条件时,计数器可进行与其二进制位数对应的数值的相应进制的自循环计数,如位数为3的计数器可进行8进制的自循环加法或减法计数。

可根据需要来设置计数器的位数,并通过外部约束条件来人为设定计数器的计数模数,来得到计数进制符合需要的N 进制计数器。所谓N 进制计数器,是指一个计数器的计数过程,在经历时钟脉冲信号的个为N 之后,二进制数据又回到初始状态的计数器。

表1.1计数器的状态表

图1.1计数器的状态图

2 设计原理

本论文所设计的16位二进制加法计数器,其中16位计数器输出Q[15..0]=0000000000000000,时钟CLK 的上升沿到来时,计数器处于预置工作状态,输出Q[15..0]= D[15..0],D[15..0]是16位并行数据输入端,COUT 是进位输入端,当UPDOWN=0(进行加法操作)且输出Q[15..0]=1111111111111111时,COUT=1表示进位输出。

3 程序

ENTITY CNT16 IS

PORT (CLK : IN BIT;

Q : BUFFER INTEGER RANGE 65535 DOWNTO 0 );

END;

ARCHITECTURE bhv OF CNT16 IS

BEGIN

PROCESS (CLK) BEGIN

IF CLK'EVENT AND CLK = '1' THEN

Q <= Q + 1; END IF;

END PROCESS;

END bhv;

4 编译与仿真

1.编辑文件

(1)启动Quartus,双击桌面上的QuartusⅡ图标或单击开始按扭,启动QuartusⅡ。(2)编辑文件,单击标题栏中的File→New对话框。单击New对话框的Device Design Files 选项卡,选择编译文件的语言类型。这里选择VHDL Files,选好后单击【OK】按钮,打开VHDL 文本编辑器窗口,并在其中输入图1所示的程序。

图1 文本编辑器

输入完成之后,单击File→Save As选项,将编辑的文本文件存在已建立的文件夹c:\Users下,存盘文件名应该与VHDL程序的实体名一致,即Vhdl1.vhd。当出现问句Do you want to create…时,可选“否”。

2.创建工程

(1)打开建立新工程向导,单击File→New Project Wizard菜单,出现新建工程向导对话框,单击【Next】按钮,出现如图2所示的工程基本设置对话框。

在最上面的输入框中输入工作库文件夹的地址,本例为C:\User/GongYu/Desktop/EDA/cnt16,或者单击该对话框最上一栏右侧的【…】按钮,出现选择文件夹的目录。

(2) 将设计文件加入工程中,单击图5中的【Next】按钮,在弹出的对话框中,将与本工程有关的文件加入,有两种方法:一种是单击右边的【Add All】按钮,将设定工程目录中的所有VHDL文件加入到工程文件栏;另一种是单击【Add …】按钮,从工程目录中选出相关的VHDL文件。

图2中间的输入框就是该工程的名称,一般可以用顶层文件的名称作为工程名称,本例的顶层文件名是cnt16。最下面的输入框要求输入顶层设计文件实体的名称,本例顶层文件的实体名称是cnt16。

图2 工程基本设置和情况

(3) 选择仿真器和综合器类型,单击图2中的【Next】按钮,这时弹出选择仿真器和综合器类型的窗口。如果选择默认的NONE,表示使用QuartusⅡ中自带的仿真器和综合器。

(4) 选择目标芯片,单击【Next】按钮,根据系统设计的实际需要选择目标芯片。首先在Family 栏选择芯片系列。在此栏下方,询问选择目标器件的方式,选No,表示允许编程器自动选择该系列中的一个器件;单击Yes选项,表示手动选择。本例采用手动选择,单击【Next】按钮,选择此系列的具体芯片:EP20K30ETC144-1。单击【Next】按钮后,弹出工程设置统计窗口。

(5) 结束设置,最后单击【Finish】按钮,结束设置。在QuartusⅡ主窗口的左侧。

3.目标芯片的配置

(1) 选择目标芯片,单击标题栏中的Assignments→Settings菜单,在弹出的对话框中选Category下的Device选项,然后在右侧选择目标芯片EP20K30ETC144-1(此芯片已在建立工程时选定了)。也可在Available devices栏分别单击Package(封装形式)、Pin count(管脚数量)和Speed(速度)来选定芯片。

(2) 选择目标器件编程配置方式,单击【D evice & Pin Options…】按钮进入器件与管脚操作对话框,首先选择Configuration项,在此框的下方有相应的说明,在此可选Configuration方式为Passive Serial,这种方式可以直接由PC机配置,也可由专用配置器件进行配置。使用的配置器件选Auto(系统自动配置),如图3所示。

图3 配置选项卡

(3) 选择输出配置,单击Programming Files选项卡,可以选Hexadecimal,即产生下载文件的同时,产生十六进制配置文件,Start(起始地址)设为0,Count(计数)设为Up(递增方式)。

如图4所示。此文件可用于单片机与EPROM构成的FPGA配置电路系统。

图4 程序文件选项卡

(4) 选择目标器件闲置管脚的状态,单击Unused Pins选项卡,可根据实际需要选择目标器件空闲管脚的状态,有三种状态可供选择:作为输入并呈高阻状态、作为输出并呈低电平状态、作为输出并呈不确定状态。也可以对空闲管脚不作任何选择,而由编程器自动配置。

4.编译

(1)编译,单击标题栏中的Processing→Start Compilation选项,启动全程编译。编译包括对设计输入的多项处理操作,其中包括排错、数据网表文件提取、逻辑综合、适配、装配文件(仿真文件与编程配置文件)生成,以及基于目标器件的工程时序分析等。如果工程文件中有错误,在下方的信息栏中会显示出来。可双击此条提示信息,在闪动的光标处(或附近)仔细查找,改正后存盘,再次进行编译,直到没有错误为止。编译成功的标志是所有进程都完成。

(2)阅读编译报告,编译成功后可以看到编译报告。左边栏目是编译处理信息目录,右边是编译报告。这些信息也可以在Processing菜单下的Compilation Report处见到。

5.仿真

仿真就是对设计项目进行一项全面彻底的测试,以确保设计项目的功能和时序特性符合设计要求,保证最后的硬件器件的功能与原设计相吻合。仿真可分为功能仿真和时序仿真。功能仿真只测试设计项目的逻辑行为,而时序仿真不但测试逻辑行为,还测试器件在最差条件下的工作情况。

(1) 建立波形文件,与MAX+plusⅡ仿真操作相同,仿真前必须建立波形文件。单击File→New选项,打开文件选择窗口。然后单击Other Files选项卡,选择其中的Vector Waveform File 选项。

(2) 打开波形编辑器,单击【OK】按钮,即出现空白的波形编辑器,如图5所示。

图5 波形编辑器

为了使仿真时间设置在一个合理的时间区域上,单击Edit→End Time选项,在弹出窗口中的Time输入框键入50,单位选us,即整个仿真域的时间设定为50微秒。单击【OK】按钮。结束设置后,要将波形文件存盘。单击File→Save as选项,将波形文件以文件名cnt16.vwf存入文件夹C:\Users中。

(3) 输入信号节点,单击View→Utility Windows→Node Finder选项,会打开一个对话框。在该对话框的Filter空白栏中选Pins:all,然后点击【list】按钮。在下方的Nodes Found窗口中会出现了设计工程的所有端口管脚名。

用鼠标将输入端口节点A、B和输出信号节点C逐个拖到波形编辑窗口。单击关闭按钮,关闭Node Finder窗口。

图6 已编辑输入波形

(4) 编辑输入波形,波形编辑器的按钮操作方法与MAX+plusⅡ相同。利用这些按钮,分别

给输入管脚编辑波形。

(5) 启动仿真及阅读仿真报告,单击标题栏中的Processing→Start Simulation选项,即可启动仿真器。

图7 仿真结果

由仿真图可以看到仿真结果是正确的,单击左侧的栏目,能够打开仿真报告。

5 个人小结

经过本次课程结课论文,自己对计数器的工作原理有了更深的理解。本次课程设计使用

的是Quartus II可编程逻辑器件软件开发平台,经过本次课程设计后,自己能够更加熟悉

Quartus II软件开发平台的各项功能的操作流程。但自己只是对Quartus II软件中的VHDL编程语言有一定的了解,而对Quartus II软件的其他功能还缺乏认识,为了在以后的学习工作中能够较熟练地使用Quartus II软件,自己还必须花一定的时间在该软件的学习上。

随着现代计算机技术的发展,各方面的设计日渐趋于自动化的计算机设计。Quartus II作

为一个功能强大的可编程逻辑器件软件开发平台,它电子线路设计自动化中的作用不言而喻。

而经过本次课程设计后,发现自己在该软件的使用能力上还是非常有限,所以对该软件的学

习还有待加强。在编程过程中发现自己对各电子线路系统的基础理论只是还相当缺乏,没有

较好的基础理论知识,即使有再好的开发软件也是很难设计出有价值的电子线路系统的。所

以要不断学习积累基础理论知识,有了夯实的理论基础才能顺利设计出结构简单、性能优良

的电子线路系统。

参考文献

[1] 潘松等编著,EDA技术与VHDL,北京:清华大学出版社,2007.1

[2] 邢建平编著,VHDL程序设计教程,北京:清华大学出版社,2005

[3] 周立功编著,EDA实验与实践,北京:航空航天大学出版社,2007

[4] 江国强编著, EDA技术与运用(第2版),北京:电子工业出版社,2007

计算机专业毕业设计论文

目录 第一章绪论 (1) 1.1 系统开发的背景 (1) 1.2 软件开发的策略 (1) 1.3 软件的开发方法 (3) 1.4 系统开发环境的选取 (4) 第二章系统规划 (7) 2.1 软件开发中的主要问题 (7) 2.2 软件开发目标 (7) 第三章系统分析 (8) 3.1 系统的初步调查 (8) 3.2 系统的可行性分析 (8) 3.3 对现存软件的研究 (9) 3.4 新系统逻辑方案的提出 (9) 第四章系统设计 (12) 4.1 目的与任务 (12) 4.2 系统的总体结构设计 (12) 4.3 系统的物理结构设计 (13) 4.4 系统运行的软硬件环境 (14) 4.5数据库设计 (14) 4.6用户界面设计 (17) 第五章系统实现 (19) 5.1 与数据库的连接 (19) 5.2 线程的设计 (21) 5.3具体的功能实现 (22) 5.4 系统测试 (34) 第六章系统运行与维护 (36) 6.1 系统的运行 (36) 6.2 系统的维护 (36) 第七章结论 (37) 7.1本软件的特点 (37) 7.2本软件的缺点 (37) 结束语 (38) 致谢 (38) 参考文献 (39)

第一章绪论 1.1 系统开发的背景 在科技日益发达的今天,社会对每个身处其中的分子要求越来越高,懂得一门外语只是基本的要求。而学习外语也要讲究一定的方法,一些辅助的工具也是必不可少的。 回顾过去背单词的方式,大家都是对着一本厚厚的字典,用笔在纸上反来复去的写,力求用次数来达到记忆的目的。可这种方式太浪费时间,一些单词被背了又背,一些单词却未被问津,自己都不知道哪些是已经记下来的,哪些是还不会的。把时间都白白的浪费掉了,真是得不偿失,因此,改变这种方式势在必行!使用计算机编写的背单词工具就改变了这种状况,使背单词也变得“轻松”起来。面对当前市场上背单词工具质量的良莠不齐,因而提出了设计一个新的,功能更实用,操作更方便,界面更友好的英语背单词工具——“攻破单词”。 通过对此工具的使用,可以节省很多时间,提高记忆效率,加强学习上的灵活性,使记忆、测试和查单词等各方面都很方便、轻松、快捷,相信它更能满足学习英语的学习者的需要,提高学习的进程,增加学习的兴趣。 1.2 软件开发的策略 将程序看作是按照顺序执行的一系统指令,这通常称为过程编程。过程化程序设计的典型特征是:程序中的数据对于所有过程都是可见的,因此也很容易造成混乱;过程与数据之间的关系是独立的,数据并没有要求一定要用那些方法来处理,原则上任何过程都可以对数据进行操作。在面向过程的程序设计方法中,首先考虑的是程序的功能,即程序要解决的问题,通过将功能逐步细化,直到每一个小的功能模块都能够用函数或过程来实现。然后设计数据结构,编写功能模块(过程),最后将它们组合成一个复杂的程序。换句话说,面向过程的程序设计采用的是“算法+数据结构=程序设计”的思想,即首先考虑解决问题的算法,然后再设计适合的数据结构使得算法得以有效的实现。面向过程的程序设计方法对于小型程序来说是适合的,但是用它来开发大规模的、可重用的应用就显得力不从心了。 与过程编程相对的是面向对象的编程。面向对象编程(OOP)从另外角度看待

基于Quartus六十进制计数器的设计说明

EDA技术实践课程设计 2014年 7月 25日

EDA技术实践课程设计任务书 课程 EDA技术实践课程设计 题目六十进制计数器 专业学号 主要容: 利用QuartusII设计一个六十进制计数器。该电路是采用整体置数法接成的六十进制计数器。首先需要两片74160接成一百进制的计数器,然后将电路的59状态译码产生LD′=0信号,同时加到两片74160上,在下一个计数脉冲(第60个计数脉冲)到达时,将0000同时置入两片74160中,从而得到六十进制计数器。主要要求如下:(1)每隔1个周期脉冲,计数器增1; (2)当计数器递增到59时,进位端波形发生跳变,说明计数器产生进位信号,之后计数器会自动返回到00并重新计数; (3)本设计主要设备是两片74160同步十进制计数器,时钟信号通过建立波形文件得以提供。 主要参考资料: [1] 朱正伟.EDA技术及应用[M].第2版.:清华大学,2013. [2] 国洪.EDA技术与实验[M].:机械工业,2009. [3] 忠平,高金定,高见芳.基于QuartusII的FPGA/CPLD设计与实践[M].:电子工业,2010. [4] 颂华.数字电子技术基础[M].第2版.:电子科技大学,2009. [5] 阎石.数字电子技术基础[M].第5版.:高等教育,2006. [6] 康华光.电子技术基础:数字部分[M].:高等教育,2000. 完成期限 2014.7.21——2014.7.25 指导教师 专业负责人 2014年 7 月18日

目录 1 设计 (1) 2 方案选择与电路原理图的设计 (1) 2.1 单元电路一:十进制计数器电路(个位) (2) 2.2 单元电路二:十进制计数器(十位) (3) 2.3 单元电路三:置数与进位电路 (3) 3 元件选取与电路图的绘制 (4) 3.1 元件选取 (4) 3.2 电路图的绘制 (4) 4 编译设计文件 (5) 5 仿真设计文件 (6) 6 总结 (10) 参考文献 (11)

60进制计数器设计

《数字电子技术基础》课程设计任务书 专业:16电气工程及其自动化 班级:专升本二班 学号:160732060 姓名:王冬 指导教师:耿素军 二零一六年十二月二十七日

目录 1、计数器的概述 (3) 2、六十进制计数器 (4) 2.1设计要求 (4) 2.2设计方案框架图 (4) 3、六十进制计数器设计描述 (5) 3.1设计的思路 (5) 3.2设计的实现 (7) 4、六十进制计数器的仿真设计与仿真的结果 (10) 4.1基本电路分析仿真设计 (11) 4.2 计数器电路的仿真的结果 (12) 5、心得体会 (13) 6、参考文献 (13)

1、计数器概述 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。 在数字电子技术中应用的最多的时序逻辑电路。计数器不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行数字运算等。但是并无法显示计算结果,一般都是要通过外接LCD或LED屏才能显示。 计数器的种类 1.按照计数器中的触发器是否同时翻转分类,可将计数器分为同步计数器和异步计数器两种。 2.按照计数过程中数字增减分类,又可将计数器分为加法计数器、减法计数器和可逆计数器,随时钟信号不断增加的为加法计数器,不断减少的为减法计数器,可增可减的叫做可逆计数器。

60进制计数器课程设计报告

电子技术基础实验 课程设计 60进制计数器

一、实验目的 (一)掌握中规模集成计数器74LS161的引脚图和逻辑功能。 (二)熟悉555集成定数器芯片的引脚图。 (三)利用74LS161和555定时器构成60进制计数器。 (四)在Multisim软件中仿真60进制计数器。 二、实验容 (一)集成计数器74LS161逻辑功能验证。 (二)用555定时器构成多谐振荡器。 (三)用两片74LS161和555定时器构成60进制计数器。 三、集成计数器介绍 (一)集成计数器74LS161管脚介绍 74LS161是4位二进制同步加法计时器。图1为它的管脚排列图,集成芯片74LS161的CLR是异步清零端(低电平有效),LOAD是异步预置数控制端(低电平有效)。CLK是时钟脉冲输入端,RCO是进位输出端,ENP、ENT是计数器使能端,高电平有效。A、B、C、D是数据输入端; QA、QB、QC、QD是数据输出端。

图1 74LS161管脚排列图 (二)集成计数器74LS161功能介绍 由表1可知,74LS161具有以下功能: 1.异步清零。当CLR=0时,无论其他各输入端的状态如何,计数器均被直接置“0”。 2.同步预置数。当CLR=1、LOAD=0且在CP上升沿作用时,计数器将ABCD同时置入QA、QB、QC、QD,使QA、QB、QC、QD=ABCD。 3.保持(禁止)。CLR=LOAD=1且ENP、ENT=0时,无论有无CP脉冲作用,计数器都将保持原有的状态不变(停止计数)。 4.计数。CLR=LOAD=ENP=ENT=1时,74LS161处于计数状态。 表1 74LS161功能表

计算机毕业设计论文

南宁地区教育学院毕业论文(设计) 题目学生成绩管理系统 姓名文艳 学号2009108014 专业计算机应用技术 班别09计算机 指导教师周秀梅 提交日期2011年12月30日

摘要 学生成绩管理系统其开发工作主要包括后台数据库的建立和维护以及前端应用程序的开发两个方面。Powersoft的powerbuilder为用户提供了功能强大的集成开发环境。POWERBUILDER是图形用户界面的c/s开发工具,利用其提供的各种面向对象的开发工具,powerbuilder具有强大的多个数据库描述连接功能和数据库检索力。利用其前端的用户界面开发功能完备,易使用的应用程序。而后台的数据库连接由POWERBUILDER完成,建立起数据一致性和完整性强.数据安全性好的库。

目录 第一章绪论 (1) 第二章可行性分析 (3) 第三章关键的技术 (4) 第四章数据库设计 (7) 第五章需求分析 (11) 第六章总体设计 (13) 第一节程序设计 (13) (1)输入功能模块 (13) (2)查询,修改模块 (14) (3)退出系统模块 (14) 第二节总体设计小结 (14) 第七章详细设计 (15) 第八章体会 (29) 参考文献 (30)

第一章绪论 Sybase power Builder9.0是一个企业级的,面向对象的快速应用开发工具,它易于使用的,可伸缩的,并经实践证明的快速集成开发环境,在给用户提供一条转移到下一代平台的途径的同时,使用户仍能够保护和扩展现有的技术和应用上的投资。多年来,用户一直赞赏Power Builder用于客户/服务器应用开发的快捷性,简便性以及先进性。现在,用户可以在他熟悉的相同的Power Builder环境中使用相同的技术来创建同样功能强大的Wed和分布式应用。Power Builder9.0是美国著名的Power soft公司开发的可视化数据库编称语言,它是完全按照客户机/服务器体系结构设计的,特别是其提供了用于创建和管理不同对象的众多画板,具有强大的数据库操作功能,是一款极其优秀的面向对象的数据库开发工具。使用它将会使应用程序的开发速度更快,成本更底,质量更高,功能更强,使开发人员从枯燥复杂的编程中解放出来,令开发应用系统这一让人头痛的工作变成了真正的享受。它提供了对面向对象编程的全面支持,集成强大并易于使用的编程语言。 使用Power Builder可以快速地开发出当今最流行的各种商业应用,如客户/服务器应用,分布式应用,基于组件的应用和wed应用。在Power Builder强大功能和友好的集成开发环境支持下,开发人员的效率得到空前的提高,使程序设计与开发工作变的更加有趣,仿佛是一个艺术家在创作一件艺术品,因此它深受国内外广大开发人员的喜欢。 Power Builder9.0的特点主要表现在以下几个方面: 1.高效率的应用开发 Power Builder通过提供大量新的功能和特征继续扩展其快速应用开发和无比卓越的生产率传统,显著地加快了应用的周期。 2.紧密集成Sybase EAServer 当运行于EAServer中时,Power Builder应用的功能非常强大。 EAServer是Sybase公司的一个独具特色的应用服务器,它融合了组件事务处理服务器和动态页面服务器的功能。 3.强大的Wed应用开发能力

设计60进制计数器 数电课程设计

电子技术基础实验 课程设计 用74LS161设计六十进制计数器 学院:班级:姓名:学号:电气工程学院电自1418 刘科 20

用74LS161设计六十进制计数器 摘要 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。 关键字:60进制,计数器,74LS161,级联 目录 第1章概述 (1) 计数器设计目的 (1) 计数器设计组成 (1) 第2章六十进制计数器设计描述 (2) 74LS161的功能 (2)

方案框架 (3) 第3章六十进制计数器的设计与仿真 (4) 基本电路分析设计 (4) 计数器电路的仿真 (6) 第4章总结 (8)

计算机编程论文计算机程序论文计算机论文总结

计算机编程论文计算机程序论文计算机论文总结: 可编程序控制器计算机在工业生产中的应用关键词可编程序控制器计算机技术应用 摘要分析了可编程序拉制器和工业计算机的特点,通过实例的刹析,说明二者相结合将会很好的满足工业生产的要求。 随着电子技术的快速发展,计算机已经渗透了我们生产及生活的各个领域,其中大型工业的自动控制问题更是与可编程序控制器及计算机密不可分。工业生产过程有着被控参数种类多、调节器多、干扰因素及突发性事件多的特点,而且经常要求设备连续运转,对控制的精度要求也很高,这些特点决定了工业生产必须提高控制的自动化水平。于是,作为能够很好的满足这些要求的计算机和可编程序控制器,在工业生产中便得到了广泛的应用。 可编程序控制器 可编程序控制器(简称PLC)是专为在工业环境下应用而设计的,它采用可编程序存储器,通过内部可编程序存储器,执行逻辑运算、顺序控制、定时、计算等面向用户的指令,并通过数字式或模拟式输人、输出控制各种类型的机械或生产过程。其特点是:具有工程化的编程语言和很高的可靠性。 工业计算机 工业现场为计算机应用提供了广阔的领域,但普通计算机用于工业现场具有抗干扰能力弱、可靠性低、防护性能差等不利因素,因而工业用计算机(简称IPC)应运而生,它除具有普通计算机的软硬件功能外,还具有抗干扰能力强、可靠性高、防护性能好并采用了防尘屏

蔽措施,同时,根据工业上多种信号参数的要求,设计提供了多种信号输人、输出接口板卡,可以方便地满足工业现场控制要求。 两种控制系统比较,具有不同的特点和优势:工业计算机具有性能先进、软件完善、编程控制方便、多种工业控制量接口板卡、功能强大、抗干扰能力强等特点。不足之处是编程语言工程化方面较差,装配外接输人、输出板卡易出现问题。可编程序控制器具有编程语言简洁、明快,符合工程控制思路,系统统一、完备、具有高可靠性。不足之处是编程软件方面不如计算机方便、功能强大,工业控制量的接口方面略显不足。因此在工业控制系统中,我们可以把二者结合起来。 下面介绍一个工业场合应用实例,用以说明两种控制手段在同一场合应用配合使用情况。 这是一干燥设备,在干燥箱中置人被干燥工件,其工作过程是将导热油用电加热后用油泵送入干燥箱中,对被干燥工件进行加热。同时真空泵通过管道把干燥箱中的湿气抽走。干燥箱内用风扇进行均温,通过数次压力不同的换气,输人干燥空气,使干燥箱内被干燥工件逐步被干燥。最后,真空泵将干燥箱内压力降至要求压力并维持一定时间,计量出水量,干燥结束。 在这个实例中,采用了IPC工业计算机作为控制中心,担负了工业数据(温度、压力、流量等)的采集工作,由预先编制的工艺程序控制软件进行控制。其数据采集过程是通过数据采集卡PCIr812PG实现的。

六十进制计数器设计

六十进制计数器 设计报告 姓名: 学号: 班级:13电气工程1班 系别:自动化工程系 指导教师: 时间: 2015-1-10

目录 1.概述 (2) 1.1计数器设计目的 (3) 1.2计数器设计组成 (3) 2.六十进制计数器设计描述 (4) 2.1设计的思路 (6) 2.2设计的实现 (6) 3. 六十进制计数器的设计与仿真 (7) 3.1基本电路分析设计 (7) 3.2 计数器电路的仿真 (10) 4.总结 (13) 4.1遇到的问题及解决方法 (13) 4.2实验的体会与收获 (14)

◆1概述 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数器的增减趋势,又分为加法、减法和可逆计数器。还有可预制数和可变程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。 计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 1.1计数器设计目的 1)每隔1s,计数器增1;能以数字形式显示时间。 2)熟练掌握计数器的各个部分的结构。 3)计数器间的级联。 4)不同芯片也可实现六十进制。 1.2计数器设计组成 1)用两个74ls192芯片和一个与非门实现。 2)当定时器递增到59时,定时器会自动返回到00显示,然后继续计 时。 3)本设计主要设备是两个74LS160同步十进制计数器,并且由200HZ, 5V电源供给。作高位芯片与作低芯片位之间级联。 4)两个芯片间的级联。 ◆2.六十进制计数器设计描述

计算机毕业设计开题报告范文

计算机毕业设计开题报告范文开习题汇报填写要求 1.开习题汇报(含“文献综述” )作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。此汇报应在指导教师指导下,由学生在毕业设计(论文)工作前期内完成,经指导教师签署意见及所在专业审查后生效。 2.开习题汇报内容必须用黑墨水笔工整书写或按此电子文档标准格式(可从教务处网页上下载)打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教师签署意见。 3. “文献综述”应按论文的格式成文,并直接书写(或打印) 在本开习题汇报第一栏目内,学生写文献综述的参考文献应不少于 10 篇(不包括辞典、手册) ,其中至少应包括 1 篇外文资料;对于重要的参考文献应附原件复印件,作为-- 装订在开习题汇报的最后。 4.统一用A4 纸,并装订单独成册,随《毕业设计(论文)说明书》等资料装入文件袋中。 毕业设计(论文)开习题报告 1.文献综述:结合毕业设计 (论文) 课习题情况,根据所查阅的文献资料,每人撰写 2500 字以上的文献综述,文后应列出所查阅的文献资料。软件项目方案开发管理系统 进入二十一世纪这个信息爆炸的时代,人们的各种观念开始发生惊天巨变,各行业也开始转向信息化。而随着Internet的普及与开展、随着电脑及网络技术的大范围应用,信息技术、网络技术、计

算机技术等都在不同领域得到了飞速的开展。随着IT产业的不断膨胀,各种各样的软件项目方案被飞快的开发出来。各种应用软件,各类施行项目方案不断被推出,在世界高速开展的今天,在各类软件项目方案屡见不鲜的今天,如何规范的,系统的,安全的,高效的管理好各类软件项目方案,成为了当前各软件项目方案开发公司的最大难习题。要想去逐步解决这些问习题,则需要应用到软件项目方案开发管理系统。软件项目方案开发是一件非常复杂的工作,他需要各种软件开发人员投入到这个开发大军来,互相协调配合,互相提升。而对众多的软件公司或开发团队来说,有效的管理和控制软件项目方案是非常重要的。为了给这一复杂而重要的工程带来可操作性的简便和准确的数据信息。Software Projects Exploitation Management System就是为着这一目的开发出来的。软件项目方案管理系统主要用来帮助软件公司架构标准的软件项目方案开发管理过程(项目计划管理、监视与跟踪、需求管理、测试管理、缺陷管理…)包括:⑴帮助软件公司进行有效的项目方案数据度量管理(针对SEICMM3/CMMI3的规范) ; ⑵帮助各软件公司长效施行组织过程体系标准(ISO900⑴SEICMM/CMMI) ; ⑶帮助软件公司建立项目方案过程数据库和知识库等。故本综述分别从基于B/S模式数据库的设计方法、分析,设计对软件项目方案管理系统进行综述。⑷提高过程透明度,加强对项目方案的监视和管理(针对项目方案的进度、成本、质量、资源、活动等) ; ⑸支持软件公司的多级管理模式,包括:企业高层、质量部、项目方案

设计60进制计数器数电课程设计

. . .. .. 电子技术基础实验 课程设计 用74LS161设计六十进制计数器 学院:班级:: 学号: 电气工程学院 电自1418 刘科2014303010328

用74LS161设计六十进制计数器 摘要 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。 关键字:60进制,计数器,74LS161,级联

目录 第1章概述 (1) 1.1 计数器设计目的 (1) 1.2 计数器设计组成 (1) 第2章六十进制计数器设计描述 (2) 2.1 74LS161的功能 (2) 2.2 方案框架 (3) 第3章六十进制计数器的设计与仿真 (4) 3.1 基本电路分析设计 (4) 3.2 计数器电路的仿真 (6) 第4章总结 (8)

100进制同步计数器设计

实验名称:100进制同步计数器设计 专业班级:姓名:学号:实验日期: 一、实验目的: 1、掌握计数器的原理及设计方法; 2、设计一个0~100的计数器; 3、利用实验二的七段数码管电路进行显示; 二、实验要求: 1、用VHDL 语言进行描写; 2、有计数显示输出; 3、有清零端和计数使能端; 三、实验结果: 1. VHDL程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; PACKAGE my_pkg IS Component nd2 -- 或门 PORT (a,b: IN STD_LOGIC; c: OUT STD_LOGIC); END Component; Component led_decoder PORT (din:in std_logic_vector(3 downto 0 ); --四位二进制码输入 seg:out std_logic_vector(6 downto 0) ); --输出LED七段码 END Component; 1

Component CNT60 --2位BCD码60进制计数器 PORT ( CR:IN STD_LOGIC; EN:IN STD_LOGIC; CLK:IN STD_LOGIC; OUTLOW:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0); OUTHIGH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ); END Component; Component CNT100 --带使能和清零信号的100进制计数器PORT ( CLK:IN STD_LOGIC; EN:IN STD_LOGIC; CLR:IN STD_LOGIC; OUTLOW:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0); OUTHIGH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ); END Component; Component freq_div --50MHZ时钟分频出1Hz PORT ( clkinput : IN STD_LOGIC; output : OUT STD_LOGIC ); END Component;

关于计算机毕业设计论文开题报告.doc

关于计算机毕业设计论文开题报告 1.本课题的研究意义,国内外研究现状、水平和发展趋势 1.1研究意义 随着社会的发展和进步,各项社会保障和福利制度进一步完善。实行住房公积金制度是其中的重要内容。住房公积金是职工及其所在单位按规定缴存的具有保障性和互助性的职工个人住房基金,数据量大且处理复杂。住房公积金管理系统是各单位财务管理的重要任务,由于各单位公积金管理数据量大、操作复杂,因此效率较低。本课题旨在构架一个住房公积金信息管理管理系统,方便各级管理人员、各单位和职工完成对住房公积金的操作、查询、结算等工作,为住房公积金制度的顺利实施提供保障。 本系统设计过程中主要考虑各单位用户的应用环境和应用难点。系统在开发过程中深入研究了房改资金行业的发展规律,吸纳了近年来国内外同行业和国内其他信息系统的实施经验,借助了最先进的开发平台和开发工具,设计、开发了适合住房公积金管理自身特点、功能完善、易学易用、扩充灵活、完全可靠、符合未来发展方向的住房公积金管理系统。 1.2国内外研究现状、水平 随着现今网络的发展,网络已经成为了人们生活上必不可少的,人们可以通过网络查询自己需要的信息,起初我国在住房公积金这一块还处于萌芽状态,原有的半手工管理模式手续繁杂、纠错能力

差、服务项目不全,因而工作效率不高。这就迫使人们要去想出更好的解决办法,这样新的住房公积金管理系统就诞生了,从住房公积金归集支取管理、住房公积金贷款管理、住房公积金财务管理到电话查询和多媒体终端查询、住房公积金单位版等均实行了一体化管理,涵盖了住房公积金业务处理的方方面面。 逐步完善住房公积金管理网络,管理网络必须紧跟住房公积金事业发展的趋势,不断地完善,不断地改进,只有在管理上与时俱进,才能在业务上面貌日新。 住房公积金信息管理系统建设给我市住房公积金事业带来了 良好的发展势头,管理水平、工作效率的不断提高使住房公积金缴存规模和个贷规模不断提高。我中心非常珍惜这一来之不易的好形势,要求职工因势利导、再接再厉,为全市每一单位、每一职工提供更加方便、快捷、准确的服务,让他们享受到银行般的服务质量,感觉到住房公积金缴存到我中心与存在银行同样安全可靠,并且益处更大、意义更大。 1.3发展趋势 整个世界的科技都在进步,人类的生活水平也在不断的提高,信息产业发展的尤为迅速,住房公积金管理系统正在不断的趋于完善,我国的现状相对于发达国家而言还有一定的差别,但是正在不断朝那个方向努力。在整个住房公积金管理系统发展的这么多年,从无到有、从不完善到趋于完善是一个相当漫长的过程;不管是从科技还是从人类自身的需求出发,住房公积信息管理系统正在不断发展、壮

六十进制计数器综合设计

物理与机电工程学院(2015——2016 学年第一学期) 《计算机辅助电路设计》 综合设计报告 可编程计数器 专业:电子信息科学与技术学号:2014216041 姓名:张腾 指导教师:周佐

项目十七可编程计数器 一、设计目的及任务 1.1设计目的 掌握74LS90的功能原理;利用74LS90完成简单计数器电路设计。 每隔1s,计数器增1;能以数字形式显示时间。熟练掌握计数器的各个部分的结构。计数器间的级联。不同芯片也可实现六十进制。 1.2设计任务 利用两片74Ls90构成六十进制(0~59)计数器,并用Altium Designer 进行仿真。 二、原理及过程 2.1系统原理图 2.2原理分析 认识芯片: 74LS90计数器是一种中规模二-五-十进制异步计数器,管脚图如图所示。 R01、R02是计数器置0端,同时为1有效;R91和R92为置9端,同时为1时有效;若用A输入,QA输出,为二进制计数器;如B为输入,QB-QD可输出五进制计数器;将QA与B相连,A做为输入端,QA-QD输出十进制计数器;若QD与A输入端相连,B为输入端,电路为二-五混合进制计数器。

74LS90的功能表: 2.3理论分析 当接通电源,电路开始工作时,显示器显示从0开始依次递增到59,然后重新回到0再开始依次递增到59,如此反复,直到关掉电源。

三、系统仿真 3.1仿真原理图 3.2仿真结果图 3.3仿真步骤 1.按可编程计数器的原理图在Multisim中连接电路。 2.打开开关,开始仿真. 3.4仿真结果及分析 显示器可显示:00、01、02、03、04、05、06、07、08、09、1-、11、12、13、14、15、16、17、18、19、20、21、22、23、24、25、26、27、28、29、30、31、32、33、34、35、36、37、38、39、40、41、42、43、44、45、46、47、48、49、50、51、52、53、54、55、56、57、58、59。

verilog实验60进制计数器

module counter60(clk_in,clkout,rst,out); input clk_in,rst; output [6:0] out; output clkout; reg [6:0] out1; reg [6:0] out2; reg [3:0] cnth; reg [3:0] cntl; reg [7:0] cnt; always @(posedge clk_in) begin if(!rst) cnt<=8'd0; else cnt<=cnt+8'd1; end assign clkout=cnt[4]; always @(posedge clkout or negedge rst) begin if(!rst) {cnth,cntl}<=8'd0; else if(cnth==5&&cntl==9) {cnth,cntl}<=8'd0; else if(cntl==4'd9) begin cntl<=4'd0; cnth<=cnth+4'd1; end else cntl<=cntl+4'd1; end always @(cnth) begin case(cnth) 4'd0:out1=7'b011_1111;//0 4'd1:out1=7'b000_0110;//1 4'd2:out1=7'b101_1011;//2 4'd3:out1=7'b100_1111;//3 4'd4:out1=7'b110_0110;//4 4'd5:out1=7'b110_1101;//5 default:out1=7'b011_1111;//0 endcase end

60进制计数器设计(VHDL)

《EDA技术》课程实验报告 学生姓名:黄红玉 所在班级:电信100227 指导教师:高金定老师 记分及评价: 一、实验名称 实验6:60进制计数器设计 二、任务及要求 【基本部分】4分 1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成60进制计数器的设计并进行时序仿真。 2、设计完成后生成一个元件,以供更高层次的设计调用。 3、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。 【发挥部分】1分 在60进制基础上设计6进制计数器,完成时序仿真。 三、实验程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity jinzhi60 is port(clk:in std_logic; co:out std_logic; qh:buffer std_logic_vector(3 downto 0); ql:buffer std_logic_vector(3 downto 0)); end entity jinzhi60; architecture art of jinzhi60 is begin co<='1'when(qh="0101"and ql="1001")else'0'; process(clk) begin if(clk='1')then if(ql=9)then ql<="0000";

if(qh=5)then qh<="0000"; else qh<=qh+1; end if; else ql<=ql+1; end if; end if; end process; end architecture art; 四、仿真及结果分析 由以上代码编译,仿真,得到一下时序仿真波形图。 用VHDL语言实现一个六十进制计数器,该计数器有计数使能端en,清零端clr和进位输出端co。档en=1时,计数器正常计数;当clr=1时,计数器清零。最后在试验箱上仿真,数码管显示了0到59,则60进制计数器完成。 五、硬件验证 1、选择模式:模7 2、引脚锁定情况表:

计算机专业毕业设计题目大全

计算机毕业设计题目大全安卓/Android题目大全: 安卓001个人事务管理系统 安卓002手机订餐系统 安卓003无线点菜 安卓004酒店房间预定系统 安卓005个人相册管理系统 安卓006计算器 安卓007英语学习 安卓008绘图软件 安卓009医疗健康查询系统 安卓010健身信息管理系统 安卓011课程表 安卓012音乐播放器 安卓013便民自行车管理 安卓014点餐系统SQL版 安卓015二手图书交易系统 安卓016公交查询线路 安卓017订餐管理系统 安卓018校园闲置物品交易平台 安卓019电子书阅读器 安卓020蔬菜水果销售系统 安卓021网上商店系统 安卓022消费导航系统 安卓023GPS移动定位及运行轨迹管理系统 安卓024基于安卓系统的数据传输wifi 安卓025基于蓝牙的手机好友发现系统 安卓026学英语智力游戏 安卓027电子书阅读器(两个版本) 安卓028短信管理 安卓029音乐播放器 安卓030旅游记忆系统

安卓031教师教学信息查询系统 安卓032个人信息管理系统 安卓033基于Android的公路客运售票管理系统安卓034基于Android的英文词典的设计与实现安卓035同学通讯录 安卓036安卓仓库管理系统(单机) 安卓037电子词典的设计与实现 安卓038二维码识别系统的研究与实现 安卓039任务管理器的设计与实现 安卓040手机防火墙 安卓041邮件收发Email 安卓042计算器 安卓043绘图软件设计与实现 安卓044俄罗斯方块系统 安卓045网上商店系统设计与开发 安卓046消费导航系统设计与实现 安卓047记事本 安卓048拼图游戏的设计与实现 安卓049南京旅游 安卓050公交查询线路 安卓051打飞机游戏 安卓052建筑连连看 安卓053扫雷程序 安卓054视频播放器 安卓055多功能日历 安卓056图书借阅系统 安卓057天气预报 安卓058人体健康监测软件 安卓059天气预报 安卓060实习登记系统 安卓061五子棋 安卓062餐厅点餐订餐系统 安卓063心理测试 安卓064手机理财软件 安卓065音频编辑器 安卓066相册图片浏览器 安卓067手机校园信息系统

课程设计:六十进制计数器的设计

一、实验目的 1.进一步掌握VHDL语言中元件例化语句的使用 2.通过本实验,巩固利用VHDL语言进行EDA设计的流程 二、实验原理 1.先分别设计一个六进制和十进制的计数器,并生成符号文件2.利用生成的底层元件符号,设计六十进制计数器顶层文件 三、实验步骤 (略) 四、实验结果

六进制计数器源程序cnt6.vhd: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE. STD_LOGIC_UNSIGNED.ALL; ENTITY CNT6 IS PORT (CLK, CLRN, ENA, LDN: IN STD_LOGIC; D: IN STD_LOGIC_VECTOR(3 DOWNTO 0); Q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT: OUT STD_LOGIC); END CNT6; ARCHITECTURE ONE OF CNT6 IS SIGNAL CI: STD_LOGIC_VECTOR(3 DOWNTO 0):="0000"; BEGIN PROCESS(CLK, CLRN, ENA, LDN) BEGIN IF CLRN='0' THEN CI<="0000"; ELSIF CLK'EVENT AND CLK='1' THEN IF LDN='0' THEN CI<=D; ELSIF ENA='1' THEN IF CI<5 THEN CI<=CI+1; ELSE CI<="0000"; END IF; END IF; END IF; Q<=CI; END PROCESS; COUT<= NOT(CI(0) AND CI(2)); END ONE;

计算机课程设计报告

课程设计 课程名称______________________ 题目名称______________________ 学生学院______________________ 专业班级______________________ 学号______________________ 学生姓名______________________ 指导教师______________________ 200 年月日

摘要 本课程设计说明书主要说明了在windows下如何用c语言编写设计ftp服务器和客户端的基本方法及其实现。包含了TCP/IP协议基本通信原理,Winsock编程基本原理以及ftp工作原理。正文部分是程序设计的具体内容及其源代码,最后是报告反思及总结。 关键词:TCP/IP,Winsock,ftp 摘要 (1) 1.绪论 (2) 1.1设计目的 (2) 1.2设计要求 (2) 1.21计算机网络实验环境建立在TCP/IP 网络体系结构之上。 (2) 1.22具体要求 (2) 1.3设计准备 (1) 1.4设计方案 (1) 2.开发环境介绍 (2) 3.相关原理分析了解 (2) 3.1TCP/IP原理 (2) 3.11网络层协议 (2) 3.12应用层协议 (2) 3.2Winsock编程原理 (3)

3.23Winsock编程模型: (3) 数据报套接字编程模型 (4) Winsock I/O模型 (4) 3.3TP工作原理 (4) 3.4Winsock API基本函数介绍 (5) (1)WSAStartup()函数和WSACleanup()函数 (5) (2)socket()函数 (5) (3)bind( ) 函数 (6) (4)listen( )函数 (6) (5)accept( )函数 (6) (6)connect( )函数 (6) (7)closesocket( )函数 (6) 4.总体设计 (7) 4.1了解Winsock与操作系统的关系 (7) 4.2实现功能流程图 (8) 5.详细设计 (8) 5.1文件传输源程序代码 (8) (1)客户端client程序代码 (8) (2)、服务器端server程序代码 (19) 六、系统实现步骤 (30) 七、总结结论(心得体会) (32) 参考文献: (33)

EDA60进制计数器设计

《EDA技术》课程实验报告 学生姓名: 所在班级: 指导教师: 记分及评价: 报告满分3分 得分 一、实验名称 实验6:60进制计数器设计 二、任务及要求 【基本部分】 1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成60进制计数器的设计并进行时序仿真。 2、设计完成后生成一个元件,以供更高层次的设计调用。 3、实验箱上进行验证。 【发挥部分】 在60进制基础上设计6进制计数器,完成时序仿真。 三、实验程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sixth is port(clk:in std_logic; co:out std_logic;--jin wei qh:buffer std_logic_vector(3 downto 0);--shi wei ql:buffer std_logic_vector(3 downto 0));--ge wei end entity sixth; architecture art of sixth is begin co<='1'when(qh="0101"and ql="1001")else'0'; process(clk) begin if(clk='1')then if(ql=9)then ql<="0000"; if(qh=5)then

qh<="0000"; else qh<=qh+1; end if; else ql<=ql+1; end if; end if; end process; end architecture art; 四、仿真及结果分析 图6-1 60进制计数器仿真图 用VHDL语言实现一个六十进制计数器,该计数器有计数使能端en,清零端clr和进位输出端co。档en=1时,计数器正常计数;当clr=1时,计数器清零。最后在试验箱上仿真,数码管显示了0到59,则60进制计数器完成。 五、硬件验证 1、选择模式: 2、引脚锁定情况表: 六、小结 1、六进制程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity six is port(clk,en,clr:in std_logic; co:out std_logic;--jin wei qh:buffer std_logic_vector(3 downto 0));--shi wei end entity six; architecture art of six is begin co<='1'when(qh="0101" and en='1')else'0';

相关文档
最新文档