交通信号灯设计实验报告
交通灯控制实验报告

交通灯控制实验报告交通灯控制实验报告引言:交通灯是城市交通管理的重要组成部分,通过对交通流量的控制,有效地维护交通秩序和安全。
本次实验旨在通过搭建一个简单的交通灯控制系统,探究不同交通流量下的信号灯变化规律,并分析其对交通流畅度和效率的影响。
实验装置:实验装置由红、黄、绿三种颜色的LED灯组成,分别代表红灯、黄灯和绿灯。
通过按键控制,可以切换不同灯光的显示状态。
在实验过程中,我们将模拟不同交通流量情况下的信号灯变化。
实验过程:1. 低交通流量情况下:首先,我们模拟低交通流量情况。
设置红灯时间为20秒,绿灯时间为30秒,黄灯时间为5秒。
在这种情况下,红灯的时间较长,确保道路上的车辆能够安全通过。
绿灯时间相对较短,以充分利用交通资源,提高交通效率。
黄灯时间较短,用于过渡信号灯变化。
2. 中等交通流量情况下:接下来,我们模拟中等交通流量情况。
设置红灯时间为30秒,绿灯时间为40秒,黄灯时间为5秒。
在这种情况下,红灯时间相对较长,确保道路上的车辆能够顺利通过。
绿灯时间适中,以保持交通的流畅性。
黄灯时间依然较短,用于过渡信号灯变化。
3. 高交通流量情况下:最后,我们模拟高交通流量情况。
设置红灯时间为40秒,绿灯时间为50秒,黄灯时间为5秒。
在这种情况下,红灯时间最长,确保道路上的车辆能够完全通过。
绿灯时间相对较长,以缓解交通压力,提高交通效率。
黄灯时间仍然较短,用于过渡信号灯变化。
实验结果:通过实验观察,我们发现不同交通流量下的信号灯变化对交通流畅度和效率有着明显的影响。
在低交通流量情况下,红灯时间较长,确保车辆安全通过,但可能导致交通效率稍有降低。
在中等交通流量情况下,信号灯的设置更加平衡,保证了交通的流畅性和效率。
而在高交通流量情况下,红灯时间最长,确保车辆完全通过,但也导致交通效率相对较低。
结论:通过本次实验,我们得出了以下结论:交通灯的设置应根据不同交通流量情况进行合理调整,以保证交通的流畅性和效率。
交通信号灯实验报告

交通信号灯实验报告一、引言交通信号灯是城市交通管理中重要的组成部分,它通过信号指示交通流向,确保道路交通的有序进行。
本文基于对交通信号灯的实验观察和数据分析,旨在探讨信号灯在交通流控制方面的效果,并评估其对车辆和行人的影响。
二、实验方法1. 实验装置与设置在一条拥有车辆和行人交叉流动的道路上,我们设置了一组交通信号灯,并通过定时和'感应设备进行调控。
该交通信号灯分为红、绿、黄三个信号灯,每个信号灯的显示时间均可进行调整。
2. 实验观测与数据采集我们在实验过程中观测并记录了道路上车辆和行人的流动情况,同时还记录了交通信号灯每个信号灯的显示时间以及通过信号灯的车辆和行人数量。
三、实验结果与数据分析1. 交通流控制交通信号灯对交通流控制起到了关键作用。
通过分析实验数据,我们发现交通信号灯的定时控制能够在车辆和行人之间合理划分时间,避免交通事故因冲突而产生。
此外,通过在交通信号灯设置感应设备,能够根据道路的实际情况进行智能调控,使交通流畅度得到进一步提高。
2. 车辆延误与行程时间车辆延误是指车辆在通过交通信号灯时多余的等待时间。
我们通过观察交通信号灯绿灯显示时间和通过车辆数目的关系,发现在设置合理的绿灯显示时间下,车辆延误时间可以得到一定的缓解。
然而,当车辆流量高峰期,延误时间仍然较长,这表明仅靠信号灯的优化仍然无法完全解决交通拥堵问题。
3. 行人过街安全与效率交通信号灯不仅对车辆流量进行调控,也对行人过街提供了安全保障。
我们观察到,适当的行人过街时间设置能够保证行人过街的安全性,避免与车辆发生冲突。
同时,设置行人过街时间对行人效率也具有重要意义,过长的等待时间适得其反,可能导致行人不遵守交通信号灯的规定,增加交通事故的风险。
四、结论通过交通信号灯实验观察和数据分析,我们得出以下结论:1. 交通信号灯对交通流控制起到重要作用,能够在车辆和行人之间合理划分时间,保证道路交通有序进行。
2. 车辆延误时间可以通过合理设置交通信号灯的绿灯显示时间进行缓解,但仅靠信号灯的优化无法完全解决交通拥堵问题。
交通灯课程设计实训报告

一、引言随着城市化进程的加快,交通拥堵问题日益严重,交通信号灯作为城市交通管理的重要手段,对于提高道路通行效率、保障交通安全具有重要作用。
为了让学生更好地了解交通信号灯的工作原理和设计方法,我们开展了交通灯课程设计实训。
本文将对实训过程进行总结,并对设计成果进行分析。
二、实训目的1. 熟悉交通信号灯的工作原理和设计方法;2. 学会使用单片机进行交通信号灯控制;3. 提高学生的实践能力和创新能力;4. 培养学生的团队协作精神。
三、实训内容1. 交通信号灯基本原理交通信号灯主要包括红灯、黄灯和绿灯三种颜色,分别代表禁止通行、注意和允许通行。
交通信号灯的基本工作原理是:通过单片机控制信号灯的亮灭,实现交通信号的变换。
2. 单片机交通信号灯控制系统设计本实训采用AT89C52单片机作为核心控制单元,设计了一个十字路口交通信号灯控制系统。
系统主要包括以下部分:(1)硬件电路设计:包括单片机、信号灯模块、按键模块、数码管显示模块等。
(2)软件设计:主要包括初始化程序、主程序和中断服务程序。
3. 交通信号灯控制策略(1)基本控制策略:南北方向绿灯亮时,东西方向红灯亮;南北方向黄灯亮时,东西方向红灯亮;南北方向红灯亮时,东西方向绿灯亮;南北方向红灯亮时,东西方向黄灯亮。
(2)时间控制策略:绿灯亮20秒,黄灯亮4秒,红灯亮24秒。
(3)手动/自动控制策略:通过按键切换手动/自动模式,实现交通信号灯的手动控制。
四、实训过程1. 硬件电路搭建:按照设计要求,将单片机、信号灯模块、按键模块、数码管显示模块等硬件电路连接起来。
2. 软件编程:使用C语言编写单片机程序,实现交通信号灯的控制。
3. 系统调试:对系统进行调试,确保交通信号灯工作正常。
4. 优化设计:根据实际情况,对系统进行优化设计,提高系统性能。
五、实训成果1. 成功设计并实现了十字路口交通信号灯控制系统。
2. 系统具有手动/自动控制功能,可满足实际交通需求。
交通灯设计实验报告

交通灯设计实验报告交通灯设计实验报告引言:交通灯是城市交通管理中不可或缺的一部分,它们起着引导和控制车辆和行人流动的重要作用。
然而,随着城市化进程的加快和交通流量的不断增加,传统的交通灯设计已经不能完全满足人们对交通效率和安全的需求。
因此,在本次实验中,我们对交通灯的设计进行了一系列的改进和尝试,并进行了实地测试和数据分析。
一、设计目标和原则:在进行交通灯设计之前,我们首先明确了设计的目标和原则。
我们的目标是提高交通效率、减少交通拥堵、保障行人安全,并尽可能减少对环境的不良影响。
在设计的原则上,我们遵循了以下几点:灵活性、可变性、可控性、可视性和可持续性。
二、设计改进一:智能感应系统为了提高交通效率和减少拥堵,我们引入了智能感应系统。
该系统通过使用传感器和计算机视觉技术,实时监测和分析交通流量,并根据实际情况调整交通灯的信号周期。
例如,在交通流量较大的道路上,交通灯的绿灯时间会相应延长,以减少车辆排队等待的时间,提高交通效率。
三、设计改进二:行人优先信号为了保障行人的安全,我们增加了行人优先信号。
在传统的交通灯设计中,行人只有在车辆信号为红灯时才能过马路。
然而,由于车辆流量大,行人常常需要等待较长时间才能过马路,容易引发不安全行为。
因此,我们在交通灯上增加了行人信号灯,当行人信号为绿灯时,车辆信号为红灯,行人可以安全地过马路。
这样一来,不仅提高了行人的安全性,也减少了行人与车辆的冲突。
四、设计改进三:倒计时显示为了增加交通灯的可视性和可控性,我们在交通灯上增加了倒计时显示。
倒计时显示可以让行人和车辆清楚地知道绿灯或红灯还有多长时间结束或开始,从而更好地掌握过马路的时间。
这样一来,行人和车辆可以根据倒计时显示来合理安排自己的行动,减少等待时间和不必要的停车。
五、实地测试和数据分析为了验证我们设计的改进是否有效,我们在城市的交通繁忙路口进行了实地测试,并收集了相关数据进行分析。
通过对比实验组和对照组的数据,我们发现在采用智能感应系统、行人优先信号和倒计时显示的交通灯设计下,交通效率明显提高,车辆排队时间减少了30%,行人过马路的等待时间减少了40%。
交通信号灯实训报告总结

一、引言随着我国城市化进程的加快,交通信号灯作为城市交通管理的重要手段,对于维护交通秩序、保障人民生命财产安全具有重要作用。
为了提高我国交通信号灯系统的设计水平和管理能力,本实训报告通过对交通信号灯系统的设计与实现进行深入研究,总结实训过程中的收获与体会。
二、实训目的1. 了解交通信号灯系统的基本原理和设计方法;2. 掌握交通信号灯系统硬件和软件的设计与实现;3. 提高动手实践能力和团队协作能力;4. 培养创新精神和工程意识。
三、实训内容1. 交通信号灯系统概述交通信号灯系统主要由信号灯控制器、信号灯、感应器、控制器程序等组成。
信号灯控制器负责控制信号灯的时序,感应器用于检测车辆和行人流量,控制器程序负责实现交通信号灯的运行逻辑。
2. 交通信号灯系统硬件设计(1)信号灯控制器:选用单片机作为信号灯控制器,具有成本低、性能稳定等优点。
控制器采用AT89C52单片机,配合定时器/计数器实现信号灯时序控制。
(2)信号灯:采用LED信号灯,具有亮度高、寿命长、响应速度快等特点。
信号灯包括红灯、黄灯、绿灯,分别表示禁止通行、注意安全、允许通行。
(3)感应器:选用红外感应器,用于检测车辆和行人流量。
红外感应器具有安装方便、检测距离远、抗干扰能力强等优点。
3. 交通信号灯系统软件设计(1)系统初始化:在程序开始时,初始化单片机、定时器/计数器、信号灯、感应器等硬件资源。
(2)信号灯时序控制:根据交通流量和信号灯控制策略,设置信号灯的时序,实现红灯、黄灯、绿灯的交替闪烁。
(3)感应器数据采集:通过红外感应器实时采集车辆和行人流量数据,为信号灯时序调整提供依据。
(4)信号灯时序调整:根据感应器采集到的数据,实时调整信号灯时序,实现交通流量与信号灯时序的匹配。
4. 交通信号灯系统测试与调试(1)硬件测试:检查信号灯控制器、信号灯、感应器等硬件设备是否正常工作。
(2)软件测试:模拟不同交通流量场景,测试信号灯时序控制、感应器数据采集、信号灯时序调整等功能。
交通信号灯实验报告

数字逻辑与数字系统实验报告交通指示灯电路一、实验要求:二、实验设计:1.分析系统的逻辑功能,画出其框图交通灯控制系统的原理框图下图所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,可有实验箱自己给不用设计。
译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
2.电路图的设计计数器选用集成电路74LS163进行设计。
74LS163是4位二进制同步计数器,它具有同步清零、同步置数的功能。
刚好交通灯的一个周期为16秒。
可用一个74163计数对电路定时。
由功能表分下面几种信号灯状态和车道运行状态:S0:东西方向车道的绿灯亮绿灯闪,车道通行,人行道禁止通行;南北方向车道的红灯亮,车道禁止通行,人行道通行 S1:东西方向车道的黄灯亮,车道缓行,人行道禁止通行;南北方向车道的红亮,车道禁止通行,人行道通行 S2:东西方向车道的红灯亮,车道禁止通行,人行道通行;南北方向车道的绿灯闪,车道通行,人行道禁止通行 S3:东西方向车道的红灯亮,车道禁止通行,人行道通行;南北方向车道的黄灯亮,车道缓行,人行道禁止通行注:绿灯闪和绿灯亮可以设置不同的状态,比如说:东西灯绿灯亮是用一个控制电路,闪的时候用另一个控制电路,绿灯亮时,控制绿灯闪的逻辑电路必须为0,使绿灯闪的电路用一个逻辑电路和脉冲和与门连成,而此时使绿灯亮的逻辑电路必须为0,然后两个逻辑电路用或门连到同一个绿信号灯上。
依题目的意思可以列出下面的真值表:(G为绿灯,R为红灯,Y为红灯,AB控制绿闪)QD QC QB QA G1 Y1 R1 A G2 Y2 R2 B0 0 0 0 1 0 0 0 0 0 1 00 0 0 1 1 0 0 0 0 0 1 00 0 1 0 1 0 0 0 0 0 1 00 0 1 1 1 0 0 0 0 0 1 00 1 0 0 0 0 0 1 0 0 1 00 1 0 1 0 0 0 1 0 0 1 00 1 1 0 0 1 0 0 0 0 1 00 1 1 1 0 1 0 0 0 0 1 01 0 0 0 0 0 1 0 1 0 0 01 0 0 1 0 0 1 0 1 0 0 01 0 1 0 0 0 1 0 1 0 0 01 0 1 1 0 0 1 0 1 0 0 01 1 0 0 0 0 1 0 0 0 0 11 1 0 1 0 0 1 0 0 0 0 11 1 1 0 0 0 1 0 0 1 0 01 1 1 1 0 0 1 0 0 1 0 0主电路图如下由于试验箱只有一个48MHZ的脉冲,所以用到一个分频电路如下图,得到需要的频率。
交通信号灯的实验报告

中南林业科技大学涉外学院实习报告名称:交通灯控制器姓名:***学号:********专业班级:电子信息工程一班时间:2011-10-5地点:林科大涉外学院目录任务和性能指标 (2)实现(设计)方案 (3)系统设计 (4)调试及性能分析 (6)性能分析: (7)相关知识概述 (7)心得体会 (7)参考文献 (8)任务和性能指标本电路设计一个交通灯控制器,需要达到的目的如下:一个周期64秒,平均分配,前32秒红灯1与绿灯2亮,后32秒绿灯1与红灯2亮。
在红灯1与绿灯2亮的期间的后8秒黄灯1、2闪烁,且在这期间红灯1与绿灯2同时亮。
闪烁频率为2。
在绿灯1与红灯2亮的期间的后8秒黄灯1、2闪烁,且在这期间绿灯1与红灯2同时亮。
闪烁频率为2。
实现(设计)方案为了达到目的,需要设计一个控制电路,这就需要一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮由二进制加法计数器的输出状态来决定。
因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二级管的控制信号。
因此,需要一个组合逻辑电路,六个发光二级管(两个红色发光二极管、两个绿色发光二极管、两个黄色发光二极管)电路,555脉冲振荡器,4024计数器,74LS193计数器,数码管显示电路。
其结构图如下:本电路中的组合逻辑电路的输入信号为二进制计数器的输出信号,输出要控制六个发光二级管不同时刻的状态。
红灯1与绿灯2的状态相同,红灯2与绿灯1的状态相同,两个黄灯状态相同。
所以只要输出三个信号即可,分别为L1、L2、L3。
组合逻辑电路的输出信号L1、L2、L3与电路的输入信号Q7、Q6、Q5、Q4、Q3、Q2、Q1的关系用如下真值表表示:从以上可知:L1=Q7’,需要低电平有效时,L1’=Q7’’L2=Q7,需要低电平有效时,L2’=Q7’L3=Q6Q5=(Q6Q5)’’考虑到黄灯需要闪烁,可以让L3信号和Q1信号(频率为2HZ的脉冲)加到一个二输入的与非门的两个输入端,输出信号为L4,L4=(L3*Q1)’当L3为0时,L4=1当L3为1时,L4=Q1’可见,需要L4低电平有效,这样,L3为0时,黄灯不亮;L3为1时,黄灯闪烁。
交通灯设计实验报告

一、实验目的1. 理解交通灯控制系统的基本原理和设计方法。
2. 掌握使用单片机进行交通灯控制系统的设计与实现。
3. 培养动手实践能力和团队协作精神。
二、实验原理交通灯控制系统是城市交通管理的重要组成部分,其主要目的是通过红、黄、绿三种信号灯的变换,实现对车辆和行人的有序通行。
本实验采用单片机作为控制核心,通过编写程序实现对交通灯的控制。
三、实验设备1. 单片机开发板(如51单片机开发板)2. 交通灯模块(红、黄、绿三色LED灯)3. 按键模块4. 数码管模块5. 电阻、电容等电子元器件6. 调试工具(如万用表、示波器等)四、实验步骤1. 系统设计(1)确定交通灯控制系统的功能需求:实现红、黄、绿三色LED灯的交替闪烁,满足交通信号灯的基本要求。
(2)设计系统框图:单片机作为核心控制单元,通过编写程序实现对交通灯的控制。
系统框图如下:```+------------------+ +------------------+ +------------------+| | | | | || 单片机 |-------| 交通灯模块 |-------| 按键模块|| | | | | |+------------------+ +------------------+ +------------------+```(3)编写程序:根据系统需求,编写单片机控制程序,实现红、黄、绿三色LED灯的交替闪烁。
2. 硬件搭建(1)将单片机开发板与交通灯模块、按键模块、数码管模块等连接。
(2)根据电路原理图,连接电阻、电容等电子元器件。
(3)使用万用表测试电路连接是否正确。
3. 软件编程(1)使用C语言编写单片机控制程序。
(2)编译程序,生成可执行文件。
(3)将可执行文件烧录到单片机中。
4. 系统调试(1)使用示波器观察单片机引脚输出波形。
(2)检查交通灯模块是否正常工作。
(3)使用万用表测试按键模块是否正常工作。
(4)根据实际情况调整程序参数,确保系统稳定运行。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
华侨大学电子工程系基于FPGA的交通信号灯课程设计报告设计课题:交通信号灯设计姓名:潘申欣、崔冰、陈孔滨专业:10级集成电路设计与集成系统学号:1015251023、1015251005、1015251003日期:2013年4月14日—2013年4月26日指导教师:傅文渊目录摘要一、设计的任务与要求 (4)1、任务与要求 (4)2、系统原理 (5)3、创新部分 (5)二、系统顶层原理图 (6)三、各功能模块叙述 (6)1、码转换模块A (6)2、数据产生模块 (8)3、数据存储模块 (10)4、4选1多路选择器 (12)5、时钟产生模块 (13)6、总控制模块 (15)7、码转换模块B (20)8、码转换模块C (22)9、码转换模块D (24)10、LCD1602驱动模块 (25)四、硬件验证结果说明 (29)1、引脚锁定 (29)2、基本功能的验证 (30)3、紧急情况处理部分 (33)4、手动更改时间部分 (34)五、Signal Tap仿真结果 (37)六、心得体会 (38)七、参考文献 (39)八、附录(产品使用说明书) (39)摘要1、EDA技术的概念EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。
利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。
现在对EDA的概念或范畴用得很宽。
包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。
目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。
例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。
2、VHDL语言概念VHDL语言:超高速集成电路硬件描述语言(VHSIC Hardware Deseription Languagt,简称VHDL),是IEEE的一项标准设计语言。
它源于美国国防部提出的超高速集成电路(Very High Speed Integrated Circuit,简称VHSIC)计划,是ASIC设计和PLD设计的一种主要输入工具。
3、交通灯控制系统工程简介本次设计报告分析了现代交通控制与管理问题的现状,根据城市交通的实际情况,阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的电路设计方案。
根据交通灯的设计原理及要求,通过总体设计交通灯原理接线图,综合应用单片机原理、微机原理、微机接口技术等方面的知识来,运用FPGA相关知识结合DE2开发板的上的数码管、LCD1602、LED、按钮开关完成交通灯系统的设计,在紧急情况下可以实现人工控制。
关键词:交通灯、FPGA、LCD1602、数码管、LED、紧急情况。
一、设计的任务与要求1、任务与要求基于嵌入式技术利用VHDL等硬件语言描述交通信号灯的系统(1)基本要求:a.设计一个南北方向为主干道,东西方向为支干道的;b.选择一个标准时钟发生电路,为电路提供一个标准1HZ信号;c.(1)交通灯从绿变红时,有6秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为65秒,支干道的绿灯时间为30秒;d.在DE2开发板上演示其状态变化过程。
(2)发挥部分:a.在Signal Tap中显示指示灯变化的输出结果仿真波形图。
b.在任意时间显示每个状态到该状态结束所需的时间。
2、系统原理:定义一个时钟为标准的1HZ的时钟信号,rst为复位信号,同时定义一个紧急情况信号,负责紧急情况的处理,当紧急情况发生时,南北主干道和东西支干道均显示红灯。
3、创新部分:1)、在任何情况下都能够手动修改主干道和支干道上交通灯的控制时间。
2)、能在LCD1602上显示主干道和支干道交通灯的实时变化情况,以及各种情况下的显示时间。
3)、在初始设定交通灯时间以及每次修改其控制时间时,能在数码管上显示其设定结果,并一直保持。
4)、紧急情况发生时不但南北主干道和东西支干道均显示红灯,而且自动停止计时并发出警报。
二、系统顶层原理图原理说明:整个原理图由码转换模块A、数据产生模块、数据存储模块、4选1多路选择器、时钟产生模块、总控制模块、码转换模块B、码转换模块C、码转换模块D、LCD1602驱动模块。
其中码转换模块A、B实现十进制整数转换为七段数码管段码的功能。
数据产生模块实现交通灯初始时间的设定、数据存储模块用于存储已设定的时间、4选1多路选择器实现输入口的复用、时钟产生模块用于产生1250HZ的时钟信号和1HZ的标准时钟信号,总控制模块用于控制主干道和支干道交通灯显示情况、码转换模块C实现数码管段码到LCD1602字符码的转换、码转换模块D实现交通灯的电平信号到LCD1602字符码的转换、LCD1602驱动模块作为后续LCD1602显示屏的驱动。
三、各功能模块叙述1、码转换模块A:a)源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div_10_2isport(datain:in integer range0to99;display1:out std_logic_vector(6downto0);display2:out std_logic_vector(6downto0) );end;architecture one of div_10_2isbeginprocess(datain)variable a:integer;variable b:integer;begina:=datain/10;b:=datain-a*10;case a iswhen0=>display1<="1000000";when1=>display1<="1111001";when2=>display1<="0100100";when3=>display1<="0110000";when4=>display1<="0011001";when5=>display1<="0010010";when6=>display1<="0000010";when7=>display1<="1111000";when8=>display1<="0000000";when9=>display1<="0010000";when others=>null;end case;case b iswhen0=>display2<="1000000";when1=>display2<="1111001";when2=>display2<="0100100";when3=>display2<="0110000";when4=>display2<="0011001";when5=>display2<="0010010";when6=>display2<="0000010";when7=>display2<="1111000";when8=>display2<="0000000";when9=>display2<="0010000";when others=>null;end case;end process;end;b)、原理图:c)、功能仿真波形:d)、时序仿真波形:e)、功能描述:系统共有4个码转换模块,在初始时间设定时所输入的时间量通过4个码转换模块的译码后输入到8个共阳数码管上显示所输入的时间量。
2、数据产生模块a)、源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity setting isreset,en:in std_logic;clkh:in std_logic;clkl:in std_logic;setout:out integer range0to99 );end;architecture one of setting issignal f:integer:=0;beginprocess(clkh,clkl)variable a:integer:=0;variable b:integer:=0;variable c:integer:=0;variable d:integer:=0;beginif en='1'thenif reset='0'thena:=0;b:=0;c:=0;d:=0;f<=0;elseif clkh'event and clkh='1'thenif a<=8thena:=a+1;b:=10+b;elsea:=0;b:=0;end if;end if;if clkl'event and clkl='1'thenif c<=8thenc:=c+1;d:=1+d;elsec:=0;d:=0;end if;end if;end if;end if;end process;setout<=f;end;b)、原理图:c)、功能仿真波形:d)、时序仿真波形:e)、功能描述:数据产生模块实现交通灯初始时间的产生及其设定。
3、数据存储模块a)、源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity store isport(storeen:in std_logic;clk:in std_logic;storein:in integer range0to99;storeout:out integer range0to99 );end;architecture one of store issignal a:integer range0to99;beginprocess(storeen,clk,storein)beginif storeen='1'thenif clk'event and clk='1'thena<=storein;end if;end if;end process;storeout<=a;end;b)、原理图:c)、功能仿真波形:d)、时序仿真波形:e)、功能描述:数据存储模块用于暂存设定,以达到设定时间的目的。