(完整版)数字IC设计工程师笔试面试经典100题(大部分有答案)

合集下载

IC设计面试笔试题目

IC设计面试笔试题目

IC设计面试笔试题目集合分类笔试/面试题目集合分类--IC设计基础1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念)。

(仕兰微面试题目)2、FPGA和ASIC的概念,他们的区别。

(未知)答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。

根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。

与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点模拟电路1、基尔霍夫定理的内容是什么?(仕兰微电子)2、平板电容公式(C=εS/4πkd)。

(未知)3、最基本的如三极管曲线特性。

(未知)4、描述反馈电路的概念,列举他们的应用。

(仕兰微电子)5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。

(未知)8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。

(凹凸)9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。

(未知)10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。

(未知)11、画差放的两个输入管。

(凹凸)12、画出由运放构成加法、减法、微分、积分运算的电路原理图。

并画出一个晶体管级的运放电路。

数字IC设计经典笔试题

数字IC设计经典笔试题

数字I C设计经典笔试题work Information Technology Company.2020YEAR数字IC设计经典笔试题张戎王舵蒋鹏程王福生袁波摘要本文搜集了近年来数字IC设计公司的经典笔试题目,内容涵盖FPGA、VerilogHDL编程和IC设计基础知识。

AbstractThis article includes some classical tests which have been introduced into interview by companies in digital IC designing in recent years. These tests are varied from FPGA,verlog HDL to base knowledge in IC designing.关键词FPGA VerilogHDL IC设计引言近年来,国内的IC设计公司逐渐增多,IC公司对人才的要求也不断提高,不仅反映在对相关项目经验的要求,更体现在专业笔试题目难度的增加和广度的延伸。

为参加数字IC设计公司的笔试做准备,我们需要提前熟悉那些在笔试中出现的经典题目。

IC设计基础1:什么是同步逻辑和异步逻辑?同步逻辑是时钟之间有固定的因果关系。

异步逻辑是各时钟之间没有固定的因果关系。

同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。

改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入 x 有无变化,状态表中的每个状态都是稳定的。

异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。

2:同步电路和异步电路的区别:同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

数字IC设计经典笔试题

数字IC设计经典笔试题

数字IC设计经典笔试题张戎王舵蒋鹏程王福生袁波摘要本文搜集了近年来数字IC设计公司的经典笔试题目,内容涵盖FPGA、V erilogHDL编程和IC设计基础知识。

AbstractThis article includes some classical tests which have been introduced into interview by companies in digital IC designing in recent years. These tests are varied from FPGA,verlog HDL to base knowledge in IC designing.关键词FPGA VerilogHDL IC设计引言近年来,国内的IC设计公司逐渐增多,IC公司对人才的要求也不断提高,不仅反映在对相关项目经验的要求,更体现在专业笔试题目难度的增加和广度的延伸。

为参加数字IC 设计公司的笔试做准备,我们需要提前熟悉那些在笔试中出现的经典题目。

IC设计基础1:什么是同步逻辑和异步逻辑?同步逻辑是时钟之间有固定的因果关系。

异步逻辑是各时钟之间没有固定的因果关系。

同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。

改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入 x 有无变化,状态表中的每个状态都是稳定的。

异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。

2:同步电路和异步电路的区别:同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。

IC设计模拟的经典的面试题及其答案

IC设计模拟的经典的面试题及其答案
Latch up 最易产生在易受外部干扰的I/O电路处, 也偶尔发生在内部电路
Latch up 是指cmos晶片中, 在电源power VDD和地线GND(VSS)之间由于寄生的PNP和NPN双极性BJT相互影响而产生的一低阻抗通路, 它的存在会使VDD和GND之间产生大电流
随着IC制造工艺的发展, 封装密度和集成度越来越高,产生Latch up的可能性会越来越大
Latch up 产生的过度电流量可能会使芯片产生永久性的破坏, Latch up 的防范是IC Layout 的最重要措施之一
Q1为一垂直式PNP BJT, 基极(base)是nwell, 基极到集电极(collector)的增益可达数百倍;Q2是一侧面式的NPN BJT,基极为P substrate,到集电极的增益可达数十倍;Rwell是nwell的寄生电阻;Rsub是substrate电阻。
MENTOR Modle-sim
模拟电路仿真工具:
***ANTI HSpice pspice,spectre micro microwave:
eesoft : hp
3.)逻辑综合(synthesis tools)
逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再仿真。最终仿真结果生成的网表称为物理网表。
12、请简述一下设计后端的整个流程?(仕兰微面试题目)
13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元素?(仕兰微面试题目)
8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知)

IC设计基础笔试面试常见题目(含详细答案)

IC设计基础笔试面试常见题目(含详细答案)

提高稳定性; 但要注意米勒补偿电容的引入会导致产生一个右半平面的零点,
若设置不当该零点可能
会导致稳定性问题,可以通过调零电阻( nulling resistor)、消除前馈路径或者前馈补偿等方法控制这
个右半平面的零点;
通过负反馈能够扩展增益幅度的平坦范围,也即扩展
-3dB 带宽,但要注意深度的负反馈可能会带来
EE 笔试 / 面试题目集合分类 --IC 设计基础
模拟电路
1、基尔霍夫定理的内容是什么?(仕兰微电子) ( 1 ) 基尔霍夫电流定律 ,简记为 KCL ,是电流的连续性在 集总参数电路 上的体现,其物理背 景是电荷守恒公理。基尔霍夫电流定律是确定电路中任意节点处各支路电流之间关系的定律, 因此又称为 节点电流定律 ,它的内容为:在任一瞬时,流向某一结点的电流之和恒等于由该结 点流出的电流之和;
在列写节点电流方程时,各电流变量前的正、负号取决于各电流的参考方向对
该节点的关系(是 “流入 ”还是 “流出 ”);而各电流值的正、负则反映了该电流的实际方向与参考
方向的关系(是相同还是相反) 。通常规定,对参考方向背离(流出)节点的电流取正号,而
对参考方向指向(流入)节点的电流取负号。
( 2 )第二定律又称 基尔霍夫电压定律 ,简记为 KVL ,是 电场 为位场时 电位 的单值性在集总参
FET 与 BJT 的比较:
FET 是电压控制型器件,输入阻抗高; BJT 是电流控制型,输入阻抗相对较低;
FET 的 D 、S 可以互换;耗尽型 MOS 的 VGS 可正可负,使用比 BJT 灵活;
FET 仅利用多数载流子导电; BJT 既使用多数载流子又使用少数载流子导电; 射性均优于 BJT ;
间的约束关系,沿选定的回路方向绕行所经过的电路电位的升高之和等于电路电位的下降之和

ic笔试题目汇总

ic笔试题目汇总

数字IC设计工程师笔试面试经典100题1:什么就是同步逻辑与异步逻辑?同步逻辑就是时钟之间有固定得因果关系。

异步逻辑就是各时钟之间没有固定得因果关系。

同步时序逻辑电路得特点:各触发器得时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路得状态才能改变。

改变后得状态将一直保持到下一个时钟脉冲得到来,此时无论外部输入 x 有无变化,状态表中得每个状态都就是稳定得。

异步时序逻辑电路得特点:电路中除可以使用带时钟得触发器外,还可以使用不带时钟得触发器与延迟元件作为存储元件,电路中没有统一得时钟,电路状态得改变由外部输入得变化直接引起。

2:同步电路与异步电路得区别:同步电路:存储电路中所有触发器得时钟输入端都接同一个时钟脉冲源,因而所有触发器得状态得变化都与所加得时钟脉冲信号同步。

异步电路:电路没有统一得时钟,有些触发器得时钟输入端与时钟脉冲源相连,只有这些触发器得状态变化与时钟脉冲同步,而其她得触发器得状态变化不与时钟脉冲同步。

3:时序设计得实质:时序设计得实质就就是满足每一个触发器得建立/保持时间得要求。

4:建立时间与保持时间得概念?建立时间:触发器在时钟上升沿到来之前,其数据输入端得数据必须保持不变得最小时间。

保持时间:触发器在时钟上升沿到来之后,其数据输入端得数据必须保持不变得最小时间。

5:为什么触发器要满足建立时间与保持时间?因为触发器内部数据得形成就是需要一定得时间得,如果不满足建立与保持时间,触发器将进入亚稳态,进入亚稳态后触发器得输出将不稳定,在0与1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后得值并不一定就是您得输入值。

这就就是为什么要用两级触发器来同步异步输入信号。

这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生得亚稳态传播到后面逻辑中,导致亚稳态得传播。

(比较容易理解得方式)换个方式理解:需要建立时间就是因为触发器得D端像一个锁存器在接受数据,为了稳定得设置前级门得状态需要一段稳定时间;需要保持时间就是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。

数字IC类笔试面试题

数字IC类笔试面试题

威盛logic design engineer考题1。

一个二路选择器,构成一个4路选择器,满足真值表要求、2。

已知A,B,C三个信号的波形,构造一个逻辑结构,使得从AB可以得到C,并且说明如何避免毛刺3。

一段英文对信号波形的描述,理解后画出波形,并采用verilog实现。

4。

169.6875转化成2进制和16进制5。

阐述中断的概念,有多少种中断,为什么要有中断,举例6。

这道比较搞,iq题,5名车手开5种颜色的车跑出了5个耗油量(milespergallon),然后就说什么颜色的车比什么车手的耗油量多什么的,判断人,车,好油量的排序ft致死,看了一堆FSM和数字电路没啥用,结果基本的冬冬把自己搞死了。

不过mixedsignal里的数字部分到是很全的考察了数字的冬冬(转)几道威盛电子的FPGA工程师试题7、解释setup和hold time violation,画图说明,并说明解决办法.17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式.18、说说静态、动态时序模拟的优缺点.19、一个四级的Mux,其中第二级信号为关键信号如何改善timing22、卡诺图写出逻辑表达使.23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和28Please draw the transistor level schematic of a cmos 2 input AND gate andexplain which input h as faster response for output rising edge.(less delaytime).30、画出CMOS的图,画出tow-to-one mux gate.45、用逻辑们画出D触发器46、画出DFF的结构图,用verilog实现之.68、一个状态机的题目用verilog实现73、画出可以检测10010串的状态图,并verilog实现之.80、Please draw schematic of a common SRAM cell with 6 transistors,point outwhich nodes can store data and which node is word line control? (威盛笔试circuit design)(转)VIA数字IC笔试试题1。

芯片设计基础知识题库100道及答案(完整版)

芯片设计基础知识题库100道及答案(完整版)

芯片设计基础知识题库100道及答案(完整版)1. 芯片设计中,用于描述电路功能和连接关系的语言通常是()A. C 语言B. 汇编语言C. 硬件描述语言D. Java 语言答案:C2. 以下哪种不是常见的硬件描述语言()A. VHDLB. VerilogC. PythonD. SystemVerilog答案:C3. 在芯片设计流程中,逻辑综合的主要作用是()A. 将高级语言描述转换为门级网表B. 进行功能仿真C. 布局布线D. 生成测试向量答案:A4. 芯片的制造工艺通常用()来表示A. 纳米B. 微米C. 厘米D. 毫米答案:A5. 以下哪个不是芯片设计中的时序约束()A. 建立时间B. 保持时间C. 恢复时间D. 传播时间答案:D6. 芯片中的存储单元通常使用()实现A. 触发器B. 计数器C. 加法器D. 减法器答案:A7. 下列哪种工具常用于芯片的功能仿真()A. ModelSimB. QuartusC. CadenceD. Synopsys答案:A8. 芯片设计中的布线主要是为了()A. 连接各个电路模块B. 优化芯片性能C. 节省芯片面积D. 以上都是答案:D9. 以下哪种不是常见的数字电路基本单元()A. 与门B. 或门C. 非门D. 乘法器答案:D10. 在芯片设计中,降低功耗的方法不包括()A. 降低工作电压B. 减少晶体管数量C. 提高时钟频率D. 采用低功耗工艺答案:C11. 芯片的性能指标通常不包括()A. 工作频率B. 功耗C. 价格D. 面积答案:C12. 以下哪种不是芯片设计中的验证方法()A. 形式验证B. 静态验证C. 动态验证D. 随机验证答案:D13. 芯片设计中的可测性设计主要是为了()A. 提高芯片的可靠性B. 方便芯片测试C. 降低生产成本D. 增强芯片功能答案:B14. 下列哪种不是常见的芯片封装类型()A. DIPB. BGAC. PGAD. IDE答案:D15. 芯片设计中,时钟树综合的目的是()A. 优化时钟信号的分布B. 减少时钟偏差C. 降低时钟功耗D. 以上都是答案:D16. 以下哪种不是模拟电路的基本元件()A. 电阻B. 电容C. 电感D. 触发器答案:D17. 在芯片设计中,面积优化的主要手段不包括()A. 资源共享B. 逻辑化简C. 增加晶体管尺寸D. 复用模块答案:C18. 芯片中的电源网络主要用于()A. 提供稳定的电源电压B. 传输信号C. 存储数据D. 控制时钟答案:A19. 下列哪种不是常见的EDA 工具()A. Mentor GraphicsB. Altium DesignerC. Adobe PhotoshopD. Xilinx ISE答案:C20. 芯片设计中的逻辑优化通常在()阶段进行A. 前端设计B. 后端设计C. 验证D. 测试答案:A21. 以下哪种不是常见的集成电路制造材料()A. 硅B. 锗C. 铜D. 铝答案:C22. 在芯片设计中,信号完整性问题主要包括()A. 反射B. 串扰C. 电磁干扰D. 以上都是答案:D23. 芯片的可靠性设计不包括()A. 容错设计B. 冗余设计C. 加密设计D. 老化预测答案:C24. 下列哪种不是常见的芯片测试方法()A. 功能测试B. 性能测试C. 压力测试D. 外观测试答案:D25. 芯片设计中的功耗分析通常包括()A. 静态功耗分析B. 动态功耗分析C. 漏电功耗分析D. 以上都是答案:D26. 以下哪种不是常见的芯片架构()A. RISCB. CISCC. DSPD. SQL答案:D27. 在芯片设计中,低功耗设计的策略不包括()A. 门控时钟B. 多阈值电压C. 增加流水线级数D. 电源门控答案:C28. 芯片中的总线类型通常不包括()A. 数据总线B. 地址总线C. 控制总线D. 通信总线答案:D29. 下列哪种不是常见的芯片设计流程模型()A. 瀑布模型B. 迭代模型C. 敏捷模型D. 二叉树模型答案:D30. 芯片设计中的时序收敛主要是指()A. 满足时序约束B. 优化性能C. 降低功耗D. 减小面积答案:A31. 以下哪种不是常见的数字信号处理算法在芯片中的实现方式()A. 专用硬件B. 软件编程C. 混合实现D. 机械传动答案:D32. 在芯片设计中,静电防护的措施不包括()A. 增加保护电路B. 提高工作电压C. 采用防静电材料D. 良好的接地答案:B33. 芯片的封装技术对芯片性能的影响不包括()A. 散热B. 信号传输C. 成本D. 逻辑功能答案:D34. 下列哪种不是常见的模拟电路设计指标()A. 增益B. 带宽C. 分辨率D. 时钟频率答案:D35. 芯片设计中的布局规划主要考虑()A. 模块位置B. 布线资源C. 电源分布D. 以上都是答案:D36. 以下哪种不是常见的芯片验证技术()A. 等价性检查B. 代码审查C. 边界扫描D. 故障注入答案:B37. 在芯片设计中,提高芯片集成度的方法不包括()A. 减小晶体管尺寸B. 多层布线C. 增加芯片面积D. 三维集成答案:C38. 芯片中的模拟数字转换器(ADC)的主要性能指标不包括()A. 转换精度B. 转换速度C. 功耗D. 存储容量答案:D39. 下列哪种不是常见的数字电路设计风格()A. 行为级B. 结构级C. 物理级D. 生物级答案:D40. 芯片设计中的噪声分析主要针对()A. 电源噪声B. 信号噪声C. 环境噪声D. 以上都是答案:D41. 以下哪种不是常见的芯片测试设备()A. 逻辑分析仪B. 示波器C. 频谱分析仪D. 显微镜答案:D42. 在芯片设计中,降低时钟抖动的方法不包括()A. 优化时钟源B. 增加时钟缓冲器C. 提高时钟频率D. 采用锁相环技术答案:C43. 芯片的电磁兼容性设计主要考虑()A. 抗干扰能力B. 辐射发射C. 传导发射D. 以上都是答案:D44. 下列哪种不是常见的芯片可靠性测试()A. 高温测试B. 低温测试C. 湿度测试D. 颜色测试答案:D45. 芯片设计中的电源完整性分析主要关注()A. 电源电压波动B. 电流密度分布C. 地弹噪声D. 以上都是答案:D46. 以下哪种不是常见的芯片加密技术()A. 对称加密B. 非对称加密C. 哈希函数D. 压缩技术答案:D47. 在芯片设计中,减少信号串扰的措施不包括()A. 增加线间距B. 屏蔽C. 降低信号频率D. 增加信号强度答案:D48. 芯片中的数字信号处理器(DSP)通常用于()A. 图像处理B. 音频处理C. 通信D. 以上都是答案:D49. 下列哪种不是常见的芯片设计中的知识产权(IP)核()A. CPU 核B. GPU 核C. 内存控制器核D. 电池核答案:D50. 芯片设计中的性能评估指标通常不包括()A. 吞吐量B. 延迟C. 重量D. 资源利用率答案:C51. 以下哪种不是常见的芯片制造工艺步骤()A. 光刻B. 蚀刻C. 镀膜D. 焊接答案:D52. 在芯片设计中,解决时序违例的方法不包括()A. 调整逻辑B. 改变布局C. 增加时钟周期D. 减少模块数量答案:D53. 芯片的散热设计主要考虑()A. 散热器选择B. 风道设计C. 芯片封装D. 以上都是答案:D54. 下列哪种不是常见的模拟集成电路类型()A. 运算放大器B. 比较器C. 计数器D. 滤波器答案:C55. 芯片设计中的布线拥塞解决方法不包括()A. 重新布局B. 增加布线层数C. 减少布线资源需求D. 降低工作电压答案:D56. 以下哪种不是常见的芯片设计中的仿真类型()A. 前仿真B. 后仿真C. 在线仿真D. 离线仿真答案:C57. 在芯片设计中,提高布线效率的方法不包括()A. 智能布线算法B. 手动布线C. 增加布线资源D. 降低芯片性能答案:D58. 芯片中的锁相环(PLL)主要用于()A. 时钟生成B. 频率合成C. 相位调整D. 以上都是答案:D59. 下列哪种不是常见的芯片验证语言()A. SVAB. PSLC. HTMLD. OVL答案:C60. 芯片设计中的可综合代码编写原则不包括()A. 避免使用不可综合的语法B. 优化代码结构C. 增加注释D. 提高代码可读性答案:C61. 以下哪种不是常见的芯片设计中的优化技术()A. 逻辑重组B. 时钟门控C. 资源共享D. 颜色调整答案:D62. 在芯片设计中,降低电磁干扰的方法不包括()A. 滤波B. 屏蔽C. 增加电磁辐射D. 合理布线答案:C63. 芯片的静电放电(ESD)保护主要针对()A. 输入输出引脚B. 内部电路C. 电源引脚D. 以上都是答案:D64. 下列哪种不是常见的数字电路综合工具()A. Design CompilerB. SynplifyC. VivadoD. Photoshop答案:D65. 芯片设计中的面积估算方法不包括()A. 晶体管计数B. 模块面积累加C. 经验公式D. 重量测量答案:D66. 以下哪种不是常见的芯片设计中的时序分析工具()A. PrimeTimeB. TimeQuestC. ModelSimD. Cadence答案:D67. 在芯片设计中,提高芯片稳定性的方法不包括()A. 增加冗余电路B. 优化电源管理C. 降低工作温度D. 改变芯片颜色答案:D68. 芯片中的数模转换器(DAC)的主要性能指标不包括()A. 分辨率B. 建立时间C. 线性度D. 存储容量答案:D69. 下列哪种不是常见的芯片设计中的布局工具()A. ICCB. EncounterC. QuartusD. Vivado答案:C70. 芯片设计中的功耗估算方法通常不包括()A. 基于公式计算B. 基于仿真C. 基于实测D. 基于猜测答案:D71. 以下哪种不是常见的芯片设计中的验证平台()A. UVMB. VMMC. AVMD. WMM答案:D72. 在芯片设计中,减少布线延迟的方法不包括()A. 缩短布线长度B. 减小线电阻C. 增加线电容D. 提高布线层数答案:C73. 芯片的热分析主要用于()A. 评估芯片温度分布B. 优化散热设计C. 预测芯片寿命D. 以上都是答案:D74. 下列哪种不是常见的模拟电路仿真工具()A. HSPICEB. SpectreC. LTspiceD. Python答案:D75. 芯片设计中的逻辑等效性检查主要检查()A. 前后端设计的逻辑一致性B. 不同版本设计的逻辑一致性C. 不同模块设计的逻辑一致性D. 以上都是答案:D76. 以下哪种不是常见的芯片设计中的故障模型()A. 固定故障B. 桥接故障C. 颜色故障D. 开路故障答案:C77. 在芯片设计中,提高芯片抗干扰能力的方法不包括()A. 增加滤波电容B. 优化布线C. 降低电源电压D. 采用屏蔽技术答案:C78. 芯片中的存储器类型通常不包括()A. SRAMB. DRAMC. ROMD. RAM答案:D79. 下列哪种不是常见的芯片设计中的性能优化策略()A. 流水线设计B. 并行处理C. 串行处理D. 资源复用答案:C80. 芯片设计中的信号完整性仿真主要包括()A. 反射仿真B. 串扰仿真C. 电磁兼容性仿真D. 以上都是答案:D81. 以下哪种不是常见的芯片设计中的低功耗技术()A. 动态电压频率调整B. 多电压域设计C. 增加晶体管数量D. 门控电源答案:C82. 在芯片设计中,解决时钟偏差的方法不包括()A. 插入缓冲器B. 调整时钟树结构C. 增加时钟频率D. 采用时钟网格答案:C83. 芯片的可靠性评估主要包括()A. 失效率分析B. 寿命预测C. 故障模式影响分析D. 以上都是答案:D84. 下列哪种不是常见的数字电路测试向量生成方法()A. 基于算法B. 基于仿真C. 基于模型D. 基于想象答案:D85. 芯片设计中的布线资源评估主要考虑()A. 布线通道数量B. 过孔数量C. 布线层数D. 以上都是答案:D86. 以下哪种不是常见的芯片设计中的知识产权保护方式()A. 专利申请B. 版权登记C. 商业秘密保护D. 公开源代码答案:D87. 在芯片设计中,提高模拟电路性能的方法不包括()A. 采用高性能器件B. 优化电路结构C. 增加电路复杂度D. 进行参数校准答案:C88. 芯片中的控制器通常()A. 负责数据处理B. 协调各部件工作C. 存储数据D. 进行信号转换答案:B89. 以下哪种不是芯片设计中的布线规则()A. 线宽限制B. 线间距要求C. 颜色规定D. 布线层数限制答案:C90. 在芯片设计中,时钟树综合时需要考虑的因素不包括()A. 时钟延迟B. 时钟偏斜C. 时钟频率D. 时钟功耗答案:C91. 芯片的测试覆盖率指标通常不包括()A. 语句覆盖率B. 分支覆盖率C. 颜色覆盖率D. 条件覆盖率答案:C92. 下列哪种不是常见的芯片设计中的时序优化方法()A. 寄存器重定时B. 逻辑复制C. 改变电路结构D. 增加芯片面积答案:D93. 芯片设计中的可测试性设计原则不包括()A. 可观测性B. 可控制性C. 可修复性D. 可装饰性答案:D94. 以下哪种不是常见的芯片设计中的布局约束()A. 模块间距B. 电源分布C. 布线通道D. 外观美观答案:D95. 在芯片设计中,降低串扰的方法不包括()A. 增加屏蔽线B. 调整线的走向C. 提高信号幅度D. 减小并行线长度答案:C96. 芯片的故障诊断技术通常不包括()A. 逻辑分析B. 信号监测C. 外观检查D. 功能测试答案:C97. 下列哪种不是常见的芯片设计中的仿真加速技术()A. 硬件加速B. 并行仿真C. 模型简化D. 色彩优化答案:D98. 芯片设计中的电源网络设计要点不包括()A. 降低电源噪声B. 提高电源效率C. 增加电源颜色D. 保证电源稳定性答案:C99. 以下哪种不是常见的芯片设计中的逻辑化简方法()A. 卡诺图法B. 公式法C. 图形法D. 随机法答案:D100. 在芯片设计中,提高布线资源利用率的方法不包括()A. 合理规划布线通道B. 减少布线层数C. 优化布线算法D. 随意布线答案:D。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1:什么是同步逻辑和异步逻辑?(汉王)同步逻辑是时钟之间有固定的因果关系。

异步逻辑是各时钟之间没有固定的因果关系。

同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。

改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x 有无变化,状态表中的每个状态都是稳定的。

异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。

2:同步电路和异步电路的区别:同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。

3:时序设计的实质:时序设计的实质就是满足每一个触发器的建立/保持时间的要求。

4:建立时间与保持时间的概念?建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。

保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。

5:为什么触发器要满足建立时间和保持时间?因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。

这就是为什么要用两级触发器来同步异步输入信号。

这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。

(比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。

6:什么是亚稳态?为什么两级触发器可以防止亚稳态传播?这也是一个异步电路同步化的问题。

亚稳态是指触发器无法在某个规定的时间段内到达一个可以确认的状态。

使用两级触发器来使异步电路同步化的电路其实叫做“一位同步器”,他只能用来对一位异步信号进行同步。

两级触发器可防止亚稳态传播的原理:假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一段恢复时间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的数据满足其建立保持时间。

同步器有效的条件:第一级触发器进入亚稳态后的恢复时间+ 第二级触发器的建立时间< = 时钟周期。

更确切地说,输入脉冲宽度必须大于同步时钟周期与第一级触发器所需的保持时间之和。

最保险的脉冲宽度是两倍同步时钟周期。

所以,这样的同步电路对于从较慢的时钟域来的异步信号进入较快的时钟域比较有效,对于进入一个较慢的时钟域,则没有作用。

7:系统最高速度计算(最快时钟频率)和流水线设计思想:同步电路的速度是指同步系统时钟的速度,同步时钟愈快,电路处理数据的时间间隔越短,电路在单位时间内处理的数据量就愈大。

假设Tco是触发器的输入数据被时钟打入到触发器到数据到达触发器输出端的延时时间(Tco=Tsetpup+Thold);Tdelay是组合逻辑的延时;Tsetup是D触发器的建立时间。

假设数据已被时钟打入D触发器,那么数据到达第一个触发器的Q输出端需要的延时时间是Tco,经过组合逻辑的延时时间为Tdelay,然后到达第二个触发器的D端,要希望时钟能在第二个触发器再次被稳定地打入触发器,则时钟的延迟必须大于Tco+Tdelay+Tsetup,也就是说最小的时钟周期Tmin =Tco+Tdelay+Tsetup,即最快的时钟频率Fmax =1/Tmin。

FPGA开发软件也是通过这种方法来计算系统最高运行速度Fmax。

因为Tco和Tsetup是由具体的器件工艺决定的,故设计电路时只能改变组合逻辑的延迟时间Tdelay,所以说缩短触发器间组合逻辑的延时时间是提高同步电路速度的关键所在。

由于一般同步电路都大于一级锁存,而要使电路稳定工作,时钟周期必须满足最大延时要求。

故只有缩短最长延时路径,才能提高电路的工作频率。

可以将较大的组合逻辑分解为较小的N块,通过适当的方法平均分配组合逻辑,然后在中间插入触发器,并和原触发器使用相同的时钟,就可以避免在两个触发器之间出现过大的延时,消除速度瓶颈,这样可以提高电路的工作频率。

这就是所谓"流水线"技术的基本设计思想,即原设计速度受限部分用一个时钟周期实现,采用流水线技术插入触发器后,可用N个时钟周期实现,因此系统的工作速度可以加快,吞吐量加大。

注意,流水线设计会在原数据通路上加入延时,另外硬件面积也会稍有增加。

8:时序约束的概念和基本策略?时序约束主要包括周期约束,偏移约束,静态时序路径约束三种。

通过附加时序约束可以综合布线工具调整映射和布局布线,使设计达到时序要求。

附加时序约束的一般策略是先附加全局约束,然后对快速和慢速例外路径附加专门约束。

附加全局约束时,首先定义设计的所有时钟,对各时钟域内的同步元件进行分组,对分组附加周期约束,然后对FPGA/CPLD输入输出PAD附加偏移约束、对全组合逻辑的PAD TO PAD路径附加约束。

附加专门约束时,首先约束分组之间的路径,然后约束快、慢速例外路径和多周期路径,以及其他特殊路径。

9:附加约束的作用?1:提高设计的工作频率(减少了逻辑和布线延时);2:获得正确的时序分析报告;(静态时序分析工具以约束作为判断时序是否满足设计要求的标准,因此要求设计者正确输入约束,以便静态时序分析工具可以正确的输出时序报告)3:指定FPGA/CPLD的电气标准和引脚位置。

10:FPGA设计工程师努力的方向:SOPC,高速串行I/O,低功耗,可靠性,可测试性和设计验证流程的优化等方面。

随着芯片工艺的提高,芯片容量、集成度都在增加,FPGA设计也朝着高速、高度集成、低功耗、高可靠性、高可测、可验证性发展。

芯片可测、可验证,正在成为复杂设计所必备的条件,尽量在上板之前查出bug,将发现bug的时间提前,这也是一些公司花大力气设计FPGA芯片有固定的时钟路由,这些路由能有减少时钟抖动和偏差。

需要对时钟进行相位移动或变频的时候,一般不允许对时钟进行逻辑操作,这样不仅会增加时钟的偏差和抖动,还会使时钟带上毛刺。

一般的处理方法是采用FPGA芯片自带的时钟管理器如PLL,DLL 或DCM,或者把逻辑转换到触发器的D输入(这些也是对时钟逻辑操作的替代方案)。

17:FPGA设计中如何实现同步时序电路的延时?首先说说异步电路的延时实现:异步电路一半是通过加buffer、两级与非门等来实现延时(我还没用过所以也不是很清楚),但这是不适合同步电路实现延时的。

在同步电路中,对于比较大的和特殊要求的延时,一半通过高速时钟产生计数器,通过计数器来控制延时;对于比较小的延时,可以通过触发器打一拍,不过这样只能延迟一个时钟周期。

18:FPGA中可以综合实现为RAM/ROM/CAM的三种资源及其注意事项?三种资源:BLOCK RAM,触发器(FF),查找表(LUT);注意事项:1:在生成RAM等存储单元时,应该首选BLOCK RAM 资源;其原因有二:第一:使用BLOCK RAM等资源,可以节约更多的FF和4-LUT等底层可编程单元。

使用BLOCK RAM 可以说是“不用白不用”,是最大程度发挥器件效能,节约成本的一种体现;第二:BLOCK RAM 是一种可以配置的硬件结构,其可靠性和速度与用LUT和REGISTER构建的存储器更有优势。

2:弄清FPGA的硬件结构,合理使用BLOCK RAM资源;3:分析BLOCK RAM容量,高效使用BLOCK RAM资源;4:分布式RAM资源(DISTRIBUTE RAM)19:Xilinx中与全局时钟资源和DLL相关的硬件原语:常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG,IBUFGDS,BUFG,BUFGP,BUFGCE,BUFGMUX,BUFGDLL,DCM等。

关于各个器件原语的解释可以参考《FPGA设计指导准则》p50部分。

20:HDL语言的层次概念?HDL语言是分层次的、类型的,最常用的层次概念有系统与标准级、功能模块级,行为级,寄存器传输级和门级。

系统级,算法级,RTL级(行为级),门级,开关级21:查找表的原理与结构?查找表(look-up-table)简称为LUT,LUT本质上就是一个RAM。

目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的RAM。

当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可22:IC设计前端到后端的流程和EDA工具?设计前端也称逻辑设计,后端设计也称物理设计,两者并没有严格的界限,一般涉及到与工艺有关的设计就是后端设计。

1:规格制定:客户向芯片设计公司提出设计要求。

2:详细设计:芯片设计公司(Fabless)根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。

目前架构的验证一般基于systemC语言,对价后模型的仿真可以使用systemC的仿真工具。

例如:CoCentric和Visual Elite等。

3:HDL编码:设计输入工具:ultra ,visual VHDL等4:仿真验证:modelsim5:逻辑综合:synplify6:静态时序分析:synopsys的Prime Time7:形式验证:Synopsys的Formality.23:寄生效应在IC设计中怎样加以克服和利用(这是我的理解,原题好像是说,IC设计过程中将寄生效应的怎样反馈影响设计师的设计方案)?所谓寄生效应就是那些溜进你的PCB并在电路中大施破坏、令人头痛、原因不明的小故障。

它们就是渗入高速电路中隐藏的寄生电容和寄生电感。

其中包括由封装引脚和印制线过长形成的寄生电感;焊盘到地、焊盘到电源平面和焊盘到印制线之间形成的寄生电容;通孔之间的相互影响,以及许多其它可能的寄生效应。

理想状态下,导线是没有电阻,电容和电感的。

而在实际中,导线用到了金属铜,它有一定的电阻率,如果导线足够长,积累的电阻也相当可观。

相关文档
最新文档