七段LED数码管显示电路设计(精)
七段数码管显示控制程序设计

。
。
图2 程序流程图
3.2PLC程序设计
网络1为启动程序。
网络2至网络60为定时器定时程序,定时时间1秒。如“网络3”,数码管显示2。计时器由38至63、101至134。
网络61至67为数码管显示程序。如“网络61”,对应译码管A,译码表如表3所示:
表3 译码表
(2)数据字典的建立
根据课设要求建立数据字典,具体所建数据如图4所示:
图4 数据字典
(3)通信关联
关联方式如图5所示:
图5 通信关联
4.联合调试
当PLC运行的时候,打开组态王监控界面。通过虚拟界面实现对PLC的控制,图5和图6、7分别表示当PLC 启动、停止和复位,PLC与虚拟界面状态显示图。
图5 启动画面
七段数码管显示控制程序设计
xxxx大学
课 程 设 计
(论文)
题目七段数码管显示控制程序设计(三)
班 级
学 号
学 生 姓 名
指 导 教 师
七段数码管显示控制程序设计(三)
xxxxxxx大学自动化学院
摘要:本文主要设计一个西门子S7-200系列的PLC数码管显示与上位机监控。按照设计要求利用PLC做出相应的梯形程序图,然后通过合理的硬件线路连接将PLC运行的结果在数码管上正确的显示出来。通过上位机设计组态王监控界面,对PLC控制数码管的显示进行模拟,实现对PLC的监控功能。并对PLC和组态王的应用和特点做了相关介绍。关键字:西门子s7-200;数码管显示;组态王;监控系统。
1.3组态王
组态王开发监控系统软件,是新型的工业自动控制系统,它以标准的工业计算机软、硬平台构建的集成系统取代传统的封闭式系统。组态王具有适应性强、开放性好、易于扩展、经济、开发周期短等优点。方便地构成监控画面,并以动画方式显示控制设备的状态,具有报警窗口、实时趋势曲线等,可便利的生成各种报表。它还具有丰富的设备驱动程序和灵活的组态方式、数据链接功能。
七段数码管显示

七段数码管显示设计报告目录一、设计任务二、题目分析与整体构思三、硬件电路设计四、程序设计五、心得体会一.设计任务数码的显示方式一般有三种:第一种是字型重叠式;第二种是分段式;第三种是点阵式。
目前以分段式应用最为普遍,主要器件是七段发光二极管(LED)显示器。
它可分为两种,一是共阳极显示器(发光二极管的阳极都接在一个公共点上),另一是共阴极显示器(发光二极管的阳极都接在一个公共点上,使用时公共点接地)。
数码管动态扫描显示,是将所用数码管的相同段(a~g 和p)并联在一起,通过选位通信号分时控制各个数码管的公共端,循环依次点亮各个数码管。
当切换速度足够快时,由于人眼的“视觉暂留”现象,视觉效果将是数码管同时显示。
根据七段数码管的显示原理,设计一个带复位的七段数码管循环扫描程序,本程序需要着重实现两部分:1. 显示数据的设置:程序设定4 位数码管从左至右分别显示1、2、3、4;2. 动态扫描:实现动态扫描时序。
利用EXCD-1 开发板实现七段数码管的显示设计,使用EXCD-1 开发板的数码管为四位共阴极数码管,每一位的共阴极7 段数码管由7 个发光LED 组成,7 个发光LED 的阴极连接在一起,阳极分别连接至FPGA相应引脚。
四位数码管与FPGA 之间通过8 位拨码开关(JP1)进行连接。
二.题目分析与整体构思使用EXCD-1 开发板的数码管为四位共阴极数码管,每一位的共阴极7 段数码管由7个发光LED 组成,呈“”字状,7 个发光LED 的阴极连接在一起,阳极分别连接至FPGA 相应引脚。
SEG_SEL1、SEG_SEL2、SEG_SEL3 和SEG_SEL4 为四位7 段数码管的位选择端。
当其值为“1”时,相应的7 段数码管被选通。
当输入到7 段数码管SEG_A~ SEG_G 和SEG_DP 管脚的数据为高电平时,该管脚对应的段变亮,当输入到7 段数码管SEG_A~SEG_G 和SEG_DP 管脚的数据为低电平时,该管脚对应的段变灭。
2项目 LED七段数码管的显示控制(WZ)

任务一 采用基本逻辑指令编程的显示控制
用于分支回路的起点。 2)OUT指令是驱动线圈的输出指令,可以用于Y、M、C、T和S继 电器,但不能用于输入继电器。
3)并行的OUT指令可以使用多次,但不能串联使用。 4)OUT指令用于计数器、定时器和功能指令线圈时,必须设定合 适的常数,常数K的设定需用一个程序步。 (2)触点串联指令(AND、ANI) AND、ANI指令格式及表示方法见 表2-3,指令的使用方法如图2-6所示。 表2⁃3 AND、ANI指令
任务一 采用基本逻辑指令编程的显示控制
(1)逻辑“与”—触点串联 两个或多个触点与线圈串联的线路, 只有当所有触点都接通时线圈才得电,这种关系在逻辑线路中称 为“与”逻辑,如图2-1所示。
K=A· B
图2-1
“与”电路
(2)逻辑“或”—触点并联 两个或多个触点并联再与线圈连接的 线路,只要有一个触点接通,线圈就得电,这种关系在逻辑线路 中称为“或”逻辑,如图2-2所示。 K=A+B
6.通电运行调试
按图2⁃17所示的系统接线图正确连接好数码管,进行系统的调 试,观察数码管能否按控制要求显示。若不能正常显示,检查电路 并修改调试程序,直至数码管能按控制要求显示为止。
图2-20
题3图
任务三 抢答器的PLC控制
任务目标
1.学习梯形图中的互锁环节。 2.学习梯形图中的“起-保-停”环节。 3.进一步掌握通用辅助继电器“M”的运用方法。 任务分析 设计一个有四个参赛组的抢答器。其控制要求为:任一组抢先 按下后,显示器能显示该组的编号并使蜂鸣器发出响声,同时锁住 抢答器,其他组抢答无效。抢答器设有复位开关,复位后可重新抢 答。
任务一 采用基本逻辑指令编程的显示控制
(3)触点并联指令(OR、ORI)
组合电路——7段数码管显示驱动电路设计报告

实验一、组合电路——7段数码管显示驱动电路设计一、实验目的了解EDA实验箱7位八段数码管显示模块的工作原理,设计标准扫描驱动电路模块,以备后面实验用。
二、硬件要求主芯片为Cyclone V E,型号为EP4CE22F17C8,7位八段数码管显示器,四位拨码开关。
三、实验内容用四位拨码开关产生8421BCD码,用CPLD分别产生7段数码管扫描驱动电路,然后进行仿真,观察波形,正确后编程下载实验测试。
四、实验原理1、72、动信号a,b,c,d,e,f,g。
通过调节四位拨码开关的状态,数码管应显示与之对应的字符。
五、实验连线输入:将芯片管角a0~a3分别接4个拨码开关;输出:将芯片管角led7s0~7分别接到数码管7段驱动信号a、b、c、d、e、f、g上。
六、实验源程序:decl7s.vhdlibrary ieee;use ieee.std_logic_1164.all;entity decl7s isport(a:in std_logic_vector(3 downto 0);led7s:out std_logic_vector(6 downto 0));end;architecture one of decl7s isbeginprocess(a)begincase a iswhen "0000" => led7s<="0111111"; when "0001" => led7s<="0000110"; when "0010" => led7s<="1011011"; when "0011" => led7s<="1001111"; when "0100" => led7s<="1100110"; when "0101" => led7s<="1101101"; when "0110" => led7s<="1111101"; when "0111" => led7s<="0000111"; when "1000" => led7s<="1111111"; when "1001" => led7s<="1101111"; when "1010" => led7s<="1110111"; when "1011" => led7s<="1111100"; when "1100" => led7s<="0111001"; when "1101" => led7s<="1011110"; when "1110" => led7s<="1111001"; when "1111" => led7s<="1110001"; when others => null;end case;end process;end;七、波形仿真结果。
共阴极七段数码管的驱动

共阴极七段数码管的驱动共阴极七段数码管是一种常见的数字显示器件,主要由7个LED 组成,可以显示数字、字母和一些符号。
驱动七段数码管需要使用控制器或者驱动芯片,本文将介绍共阴极七段数码管的驱动原理及相关电路设计。
共阴极七段数码管的工作原理是通过控制各段LED的亮灭来显示不同的数字、字母和符号。
每一段LED都有一个负极和一个正极,而共阴极数码管的负极是共用的,因此被称作共阴极。
当需要显示某个数字或字母时,控制器或驱动芯片会向相应的LED段输送电流,使其亮起,其他未被选中的LED段则不发光。
常见的七段数码管有4位和8位两种,其中4位数码管的引脚分别为A、B、C、D、E、F、G和COM,COM是共阴极的引脚。
8位数码管则多了一个点阵位DP,用于显示小数点等符号。
驱动七段数码管需要使用控制器或驱动芯片,比如常见的CD4511、74LS47等芯片。
在使用控制器或驱动芯片驱动数码管时,需要根据芯片的引脚功能连接到数码管的相应引脚。
以CD4511为例,其引脚功能如下:1. A、B、C、D:用于输入待显示数字、字母等的BCD码;2. LT、BI、RBO、BL:用于控制亮度、错误指示等;3. LE:锁存使能端,用于在输入完BCD码后锁定,防止误操作;4. a、b、c、d、e、f、g、DP:用于输出数码管各段LED的控制信号;5. VCC、GND:芯片的电源引脚。
驱动数码管时,首先需要将待显示的数字、字母等转换为BCD码,然后通过A、B、C、D等输入端输入到芯片中。
此时需要将LE引脚拉低,锁存输入的BCD码。
然后通过控制a、b、c、d、e、f、g、DP等输出端的电平,控制数码管相应的LED段亮灭,从而显示出对应的数字、字母等。
总之,共阴极七段数码管的驱动需要控制器或驱动芯片的支持,并根据芯片的引脚功能连接到数码管的相应引脚。
通过输入BCD码和控制LED段的亮灭,实现显示不同的数字、字母和一些符号。
七段数码管动态显示控制

实验二七段数码管动态显示控制一、实验目的利用AT89S52和使用两位数码管显示器,循环显示两位数00-99。
其中P2.0和P2.1端口分别控制数码管的个位和十位的供电,当相应的端口变成低电平时,驱动相应的三极管会导通,+5V通过驱动三极管给数码管相应的位供电,这时只要P3口送出数字的显示代码,数码管就能正常显示数字。
二、实验要求1、使用两位数码管显示器,循环显示两位数00-99;2、具有电源开关和指示灯,有复位键;3、数码管动态显示,即扫描方式,每一位每间隔一段时间扫描一次。
字符的亮度及清晰度与每位点亮的停留时间和每位显示的时间内轮换导通次数有关。
三、实验电路四、实验器材AT89S52;动态扫描显示;共阳极数码管;电阻五、实验原理说明图1 AT89S52引脚图图2 共阳极七段数码管引脚图1AT89S52引脚图,说明如下:按照功能,AT89S52的引脚可分为主电源、外接晶体振荡或振荡器、多功能I/O 口、控制和复位等。
1.多功能I/O口AT89S52共有四个8位的并行I/O口:P0、P1、P2、P3端口,对应的引脚分别是P0.0 ~ P0.7,P1.0 ~ P1.7,P2.0 ~ P2.7,P3.0 ~ P3.7,共32根I/O线。
每根线可以单独用作输入或输出。
①P0端口,该口是一个8位漏极开路的双向I/O口。
在作为输出口时,每根引脚可以带动8个TTL输入负载。
当把“1”写入P0时,则它的引脚可用作高阻抗输入。
当对外部程序或数据存储器进行存取时,P0可用作多路复用的低字节地址/数据总线,在该模式,P0口拥有内部上拉电阻。
在对Flash存储器进行编程时,P0用于接收代码字节;在校验时,则输出代码字节;此时需要外加上拉电阻。
②P1端口,该口是带有内部上拉电阻的8位双向I/O端口,P1口的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。
对端口写“1”时,通过内部的上拉电阻把端口拉到高电位,此时可用作输入口。
可编程逻辑器件实验EDA-七段数码管显示电路

实验四 七段数码管显示电路
一、实验目的
实现十六进制计数显示。
二、硬件需求
EDA/SOPC 实验箱一台。
三、实验原理
七段数码管分共阳极与共阴极两种。
共阳极数码管其工作特点是,当笔段电极接低电平,公共阳极接高电平时,相应笔段可以发光。
共阴极数码管则与之相反,它是将发光二极管的阴极短接后作为公共阴极,当驱动信号为高电平、公共阴极接低电平时,才能发光。
图2-13为共阳极数码管和共阴极数码管的内部结构图。
a b c d e f g h
a
b
c
d
e
f
g
h
a b c d e f g h
DIG
DIG
共阴极七段数码管
共阳极七段数码管
图2-13 共阳极数码管和共阴极数码管的内部结构图
用七段数码管除了可以显示0~9的阿拉伯数字外,还可以显示一些英语字母。
下表是常见的字母与7段显示关系(共阴极数码管)。
编写一个0~F 轮换显示的电路(注意:选用实验箱中的共阳数码管DP1A ,FPGA 上
P25引脚连接50MHz时钟。
实验时为了便于观察,要将50MHz时钟经过分频得到1Hz时钟)。
五、实验步骤
(1)实验程序
(2)仿真结果
为方便观察程序功能,分频改为6分频,从上图可以看出数码管输出能够连续变化,同时输出正确稳定的七位码。
(3)管脚绑定
(4)实验实际结果
从实际的上电结果可以看出,每过一秒,数码管数值增1,并发生跳变显示。
BCD七段显示译码器电路图

BCD七段显示译码器电路图发布:2011-08-30 | 作者: | 来源: tangyaohua | 查看:3641次| 用户关注:BCD七段显示译码器发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。
分段式显示器(LED数码管)由7条线段围成字型,每一段包含一个发光二极管。
外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。
只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。
LED数码管有共阳、共阴之分。
图4-17(a)是共阴式LED数码管的原理图,图4-17(b)是BCD七段显示译码器发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。
分段式显示器(LED数码管)由7条线段围成字型,每一段包含一个发光二极管。
外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。
只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。
LED数码管有共阳、共阴之分。
图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。
使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图 4 - 17(c)所示。
BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以F a~F g表示),也称4—7译码器。
若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。
例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为F a~F g=0110011,这也是一组代码,常称为段码。
同理,根据组成0~9这10个字形的要求可以列出8421BCD 七段译码器的真值表,见表4 - 12(未用码组省略)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验七七段LED数码管显示电路设计
一、实验目的
1.学习EDA软件的基本操作
2.学习使用原理图进行设计输入
3.初步掌握软件输入、编译、仿真和编程的过程
4.学习实验开发系统的使用方法
二、实验说明
本实验通过七段LED数码管显示电路的设计,初步掌握EDA 设计方法中的设计输入、编译、综合、仿真和编程的基本过程。
七段LED数码管显示电路有四个数据输入端(D0-D3),七个数据输出端(A-G。
三、实验要求
1、完成七段LED数码管显示电路的原理图输入并进行编译
2、对设计的电路经行仿真验证
3、编程下载并在实验开发系统上验证设计结果
四、实验步骤
1、新建工程
2、新建Verilog HDL文件
3、在文本输入窗口键入代码
4、保存HDL文件
5、编译文件直至没有错误
6、新建波形文件
7、添加观察信号
8、添加输入激励,保存波形文件
9、功能仿真
七段LED数码管显示电路真值表:
输入D3D2D1D0G F E D C B A 000000111111 100010000110 200101011011 300111001111 401001100110 501011101101 601101111101 701110001111 810001111111
910011101111 A10101110111 B10111111100 C11001111001 D110111011110 E11101111001 F11111110001五、电路原理图
啊Verilog代码描述:
module qiduan(data_in,data_out;
input [3:0]data_in;
output [6:0]data_out;
reg [6:0]data_out;
always @(data_in
begin
casex(data_in
4'b0000:data_out<=7'b0111111;
4'b0001:data_out<=7'b0000110; 4'b0010:data_out<=7'b1011011; 4'b0011:data_out<=7'b1001111; 4'b0100:data_out<=7'b1100110; 4'b0101:data_out<=7'b1101101; 4'b0110:data_out<=7'b1111100; 4'b0111:data_out<=7'b0000111; 4'b1000:data_out<=7'b1111111; 4'b1001:data_out<=7'b1100111; 4'b1010:data_out<=7'b1110111; 4'b1011:data_out<=7'b1111100; 4'b1100:data_out<=7'b0111001; 4'b1101:data_out<=7'b1011110; 4'b1110:data_out<=7'b1111001; 4'b1111:data_out<=7'b1110001; default:data_out<=7'b0000000; endcase
end
endmodule
仿真波形:
六、实验体会
七段LED数码管显示电路是常用的数码管之一,它有四个数据输入端(D0-D3),七个数据输出端(A-G。
通过七段LED数码管显示电路的设计,使我们更加熟悉Quartus II软件进行系统设计的步骤,并掌握七段LED数码管显示电路的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。