数字电子技术课程设计,数字钟的设计说明
数字电子技术课程设计--多功能数字钟

目录第一章设计任务 (4)1.1 设计题目及要求 (4)1.1.1 题目 (4)1.1.2 设计要求 (4)第二章方案设计 (5)2.1 总体方案说明 (5)2.2 模块结构以及总体方框图 (5)第三章单元电路设计与原理说明 (6)3.1 按键消抖电路的设计 (6)3.1.1 RS触发器消抖 (6)3.2 分频器的设计 (7)3.2.2 1000分频器 (7)3.3基础电子钟及其显示设计 (8)3.3.1 时钟计数器 (8)3.3.2 功能选择及校准 (9)3.4整点报时器的设计 (10)3.5数码管显示切换电路的设计 (11)3.6 闹钟及其显示的设计 (12)第四章整机电路图及说明 .............................................................. 错误!未定义书签。
4.1整体电路图及说明................................................................................ 错误!未定义书签。
第五章电路仿真 (15)5.1基本时钟电路及其时间设置功能仿真 ................................................ 错误!未定义书签。
5.1.1基本计时功能的仿真............................................................. 错误!未定义书签。
5.1.2时间设置功能的仿真............................................................. 错误!未定义书签。
5.2整点报时功能的仿真............................................................................ 错误!未定义书签。
数字电子技术课程数字电子钟设计报告

数字电子技术课程设计报告设计名称:数字电子钟姓名:周大茗学号: 311109020211班级:通信1101指导教师:苏玉娜日期: 2021.1.4一. 设计要求数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相较具有更高的准确性和直观性,且无机械装置,具有更更长的利用寿命,因此取得了普遍的利用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
①.采纳七段数码管显示,显示范围为00时00分00秒到23时59分59秒;②.要求电路具有时刻校正功能;③.详细说明设计方案,包括选择元件的依据和原理、参数确信的依据等二、设计原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一样用555组成的振荡器加分频器来实现。
将标准秒脉冲信号送入“秒计数器”,该计数器采纳60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采纳60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采纳24进制计数器,能够实现一天24h的累计。
译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。
整点报时电路是依照计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。
校时电路是来对“时、分、秒”显示数字进行校对调整。
其数字电子钟系统框图如下:图 1 数字电子钟系统框图2.1秒信号发生器电路图2.1 秒信号发生器电路秒脉冲发生器要紧由555 按时器和一些电阻电容组成,原理是利用555 按时器的特性,通过电容的充放电使VC 在高、低电平之间转换,其中555 按时器的高、低电平的门阀电压别离是2/3VCC 和1/3VCC,当电容器充电使VC 的电压大于2/3VCC 那么VC 就为高电平,但是由于回馈作用又会使电容放电,当VC 小于1/3VCC 时,VC 就为低电平,一样由于回馈作用又会使电容充电。
数字电子技术课程设计-数字电子钟的设计

CD4511 BCD-七段译码器
A1 1 A2 2 LT 3 BI 4 LE 5 A3 6 A0 7 VSS 8
CD4511
16 VDD 15 Yf 14 Yg 13 Ya 12 Yb 11 Yc 10 Yd 9 Ye
BS207 七段半导体数码管
g f GND a b
10 9 8 7 6
a
fg b
51pF
(6)仿中央电视台整点报时(选做)
CD4060管脚:
11
1. 8Hz
2. 4Hz
3. 2Hz
4. 512Hz
5. 1024Hz
C2 6. 256Hz 100pF 7. 2048Hz
9. 32768Hz
13. 64Hz
14. 128Hz
15. 32Hz
三、课程设计报告要求
1.封面 题目、姓名、班级、学号、同组姓名、指导教师、日期
实验室提供的器件(基本要求)
74LS00 74LS04 74LS20 CD4029 CD4511 74LS74 74LS153 BS207
四 2输入与非门 六反相器 双4输入与非门 二进制/十进制可逆同步计数器 BCD-七段译码器 双上升沿DFF 双4选1数据选择器 数码管
参考资料
电子技术实验与课程设计 数字电路实验与课程设计 电子电路设计与实践 电子技术课程设计指导 电子技术实验与课程设计 电子技术基础课程设计 电子技术课程设计指南 数字钟电路及应用
数字电子技术基础课程设计
--数字电子钟的设计
一、设计要求
1.基本要求 (1)具有时、分、秒计时及显示;
计时范围:00时00分00秒-23时59分59秒。 (2)具有手动校时、校分功能; (3)能仿电台整点报时。
数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。
2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。
3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。
4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。
二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。
2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。
3、用LCD液晶屏来显示当前时间及功能模式。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。
数电课程设计电子钟

数电课程设计电子钟一、课程目标知识目标:1. 让学生掌握数字电路基础知识,理解电子钟的工作原理。
2. 使学生了解并掌握电子钟各组成部分的功能及相互关系。
3. 培养学生运用数字电路知识分析、设计简单电子系统的能力。
技能目标:1. 培养学生运用所学知识,设计并搭建电子钟的能力。
2. 培养学生运用电子仪器、设备进行测试、调试和故障排查的能力。
3. 培养学生团队协作、沟通表达及解决问题的能力。
情感态度价值观目标:1. 培养学生对电子技术产生兴趣,激发学生学习积极性。
2. 培养学生严谨的科学态度和良好的实验习惯。
3. 培养学生具备创新意识和实践能力,增强学生对我国电子科技发展的自豪感。
课程性质分析:本课程属于电子技术课程,通过设计电子钟,使学生将所学数字电路知识应用于实际项目中,提高学生的实践能力。
学生特点分析:学生具备一定的数字电路基础知识,具有较强的动手能力和探究欲望,对实际应用场景感兴趣。
教学要求:结合学生特点,注重理论与实践相结合,培养学生的动手能力、创新能力和团队协作能力。
通过课程目标分解,实现对学生知识、技能和情感态度价值观的全面提升。
二、教学内容1. 数字电路基础知识回顾:逻辑门、组合逻辑电路、时序逻辑电路等。
2. 电子钟工作原理:振荡器、分频器、计数器、显示电路等。
3. 电子钟各组成部分功能及相互关系:晶振、分频器、秒、分、时计数器、显示驱动等。
4. 电子钟设计流程:需求分析、电路设计、仿真测试、硬件搭建、调试优化等。
5. 教学大纲:(1)第一周:回顾数字电路基础知识,介绍电子钟工作原理及各部分功能。
(2)第二周:分析电子钟各组成部分的相互关系,讲解设计流程。
(3)第三周:分组讨论,确定设计方案,进行电路设计和仿真测试。
(4)第四周:硬件搭建,进行调试和优化,确保电子钟正常工作。
6. 教材章节及内容:(1)第四章:数字电路基础,涉及逻辑门、组合逻辑电路等。
(2)第五章:时序逻辑电路,涉及计数器、寄存器等。
《数字电子技术》课程设计说明书多功能数字钟电路设计

学号:课程设计题目多功能数字钟电路设计学院信息工程学院专业通信工程班级通信0804班姓名指导教师2010 年7 月 6 日课程设计任务书学生姓名:专业班级:通信工程0804班指导教师:工作单位:题目: 多功能数字钟电路设计初始条件:数字电子技术基础知识、模拟电子技术基础知识、电子技术实验室、NE555、74LS90、74LS48、7段数码管。
要求完成的主要任务:1、电路原理图设计和相关参数的确定。
2、电路仿真3、设计报告的撰写时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日摘要本设计是基于555定时器产生脉冲的多功能数字钟,能够分别显示时、分、秒,还能够对时钟做校时操作,本设计时钟还具有整点报时功能。
从555定时器输出1KHZ的脉冲经过三个串联计数器分频分别为100HZ、10HZ和1HZ的时间脉冲,其中1HZ脉冲作为秒脉冲输入,10HZ作为校时电路输入。
秒脉冲输入时间计数部分,计数部分由六个计数器组成,每两个分别计数秒、分和时。
计数器输出输到到7端数码管译码器,再书到数码管进行显示出时间。
校时电路工作时,封锁计数器的进位信号,由10HZ给出计数信号,方便校时。
当分计数部分要向时计数部分进位时,给出的欲进位信号驱动扬声器,发出进位信号,到达报时的目的。
AbstractThe design is based on the pulse 555 timing produce multifunctional digital clock, can show, minutes and seconds on the clock, also can make the operation, the school also has the clock strike on the design. From 1KHZ 555 timing of pulse output by three series counter frequency respectively, 10HZ 100HZ 1HZ and the time pulse, including 1HZ pulse as seconds, 10HZ as input pulses at school input circuit. Seconds count part time input pulses, count by six counter composition, every two seconds, and were counting. To counter the output lost 7 digital tube decoder, book to digital tube show time. When school work, blockade circuit, signal by the binary counter counts, convenient 10HZ given signal when the school. When points to count part when, is part of binary counter to carry signals, a binary signals drive the speaker, arrive the strike.目录1.引言 (1)2、多功能数字钟 (2)任务 (2)、要求 (2)基本要求 (2)2.2.2 扩展功能 (2)数字钟电路系统的组成原理框图 (2)3、方案设计与选择 (3)3.1 振荡器的设计 (3)3.1.1 振荡器的选择 (3)3.1.2 参数确定 (4)3.2 分频设计 (5)3.3 时分秒计数器的设计 (5)3.3.1 时计数部分设计 (5)3.3.2 分、秒计数部分设计 (6)校时电路设计 (7)3.5 整点报时电路设计 (7)显示部分 (8)3.7 总体设计电路图 (9)4、元器件的选择 (11)振荡器NE555 (11)4.1.1. NE555的特点 (11)4.1.2 NE555引脚位配置说明 (11)4.2 计数器74LS90 (12)4.3 译码器74LS48 (13)5、电路仿真 (15)5.1 振荡器部分的仿真 (15)5.1.1 NE555输出结果仿真 (15)5.1.2 NE555输出和电容C1波形 (15)5.2 分频器的仿真 (16)5.3 时间显示仿真 (17)5.4 整点报时、校时电路仿真 (18)6总结 (19)附录元件清单 (20)参考文献 (21)1.引言时钟是现在最基本最常见的生活用品,随着现代人们的忙碌,似乎每一个角落都装上时钟才能满足人们对知晓时间的需求,现在很多宿舍楼,商场等都装上了时钟。
数电课程设计数字钟设计说明

. 目录⒈设计目的1⒉设计任务1设计指标2⒊多功能数字钟设计原理2⒈时钟信号振荡电路3⒉秒脉冲产生信号4⒊计数电路4⑴小时计数电路4⑵分钟计时电路6⑶秒钟计数电路7⑷校时信号7⑸整点报时电路8⑹译码驱动及显示电路10⒋数字钟整体电路的实现11⒋多功能数字钟设计方案二11⑴设计原理图11⑵电路各个组成部分12⑶电路图实现13⒌方案比较以及确定14⒍电路的仿真与调试15⒎元器件明细表17页脚⒏设计心得与体会20⒐参考文献22⒑23⒈设计目的⒈增强对数字电子技术及相关知识的了解与掌握。
⒉学习multisim 10.0 等相关软件的使用方法。
⒊具备简单电路设计的能力。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
此次设计与制作数字电子钟的目的是让学生在了解数字钟的原理的前提下,运用刚刚学过的数电知识设计并制作数字钟,而且通过数字钟的制作进一步了解各种在制作中用到的中小规模集成电路的作用及其使用方法。
由于数字电子钟包括组合逻辑电路和时序电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,从而实现理论与实践相结合。
总的来说,此次课程设计,有助于学生对电子线路知识的整合和电子线路设计能力的训练,并为后继课程的学习打下一定的基础。
⒉设计任务设计一个数字钟的电子电路,使其具有以下功能:①“时”、“分”、“秒”的十进制数字显示功能,其中“时”为24进制,“分”和“秒”都是六十进制;②手动校时功能;③整点报时功能。
设计指标⒈时间计数电路采用24进制,从00开始到23后再回到00;⒉各用2位数码管显示时、分、秒;⒊具有自动校时、校分功能,可以分别对时及分进行单独校时,使其校正到标准时间;⒋计时过程具有报时功能,当时间到达整点时,蜂鸣器开始响,同时灯光隔一秒亮一次的显示;⒌为了保证计时的稳定及准确,须由晶体振荡器提供时间基准信号。
⒊多功能数字钟设计原理数字电子钟电路一般由振荡器、分屏器、计数器、译码器、及显示器组成,其框图如下图1-1所示:从图1-1可以看到,石英振荡器产生的振荡信号送到分频器,经分频后得到1HZ的秒脉冲,秒脉冲再送入计数器进行计数,并把累计的计数结果以“时”、“分”、“秒”的时间格式显示出来。
电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)第一篇:电子数字时钟课程设计报告(数电)数字电子钟的设计1.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。
而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。
且由于数字钟包括组合逻辑电路和时叙电路。
通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
1.1设计指标1.时间以12小时为一个周期;2.显示时、分、秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。
4、完成3000字的课程设计报告2.功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。
工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现24小时的累计。
LED数码管将“时、分、秒”计数器的输出状态显示。
校时电路是来对“时、分、秒”显示数字进行校对调整。
2.2 原理框图3.功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
武汉理工大学《数字电子技术》课程设计说明书目录1绪论-----------------------------------------------------------------------------------------12设计方案概述-------------------------------------------------------------------------22.1系统设计思路与总体方案---------------------------------------------------------------22.2总体工作过程------------------------------------------------------------------------------22.3各功能块的划分和组成------------------------------------------------------------------33单元电路设计与分析--------------------------------------------------------------33.1秒信号的发生电路------------------------------------------------------------------------33.2时、分、秒计数电路---------------------------------------------------------------------4 3.2.1秒部分-----------------------------------------------------------------------------------53.2.2分部分-----------------------------------------------------------------------------------53.2.3时部分-----------------------------------------------------------------------------------63.3校正时、分电路---------------------------------------------------------------------------73.3.1校分电路--------------------------------------------------------------------------------73.3.2校时电路--------------------------------------------------------------------------------83.4整点报时电路------------------------------------------------------------------------------83.5闹钟功能电路------------------------------------------------------------------------------95电路的调试与仿真-----------------------------------------------------------------94总体电路原理图---------------------------------------------------------------------11 6元器件清单-----------------------------------------------------------------------------127设计体会及心得---------------------------------------------------------------------12 参考文献------------------------------------------------------------------------------------141绪论电子技术是21世纪发展最为迅速的领域之一,这主要得益于集成电路和计算机技术的迅速发展。
这两项技术既是电子技术发展的产物,又是电子技术持续发展的推动力。
集成电路和计算机技术的飞速发展,将人类社会带入信息时代,在这样的时代背景下,掌握电子技术对我们来说是非常重要的。
要掌握一门技术,不仅要具有理论的知识,还要经过不断实践,而课程设计对我们来说就是一种很好的实践方法。
时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。
忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。
但是,一旦重要事情,一时的耽误可能酿成大祸。
例如,许多火灾都是由于人们一时忘记了关闭煤气或是忘记充电时间。
尤其在医院,每次护士都会给病人作皮试,测试病人是否对药物过敏。
注射后,一般等待5分钟,一旦超时,所作的皮试试验就会无效。
手表当然是一个好的选择,但是,随着接受皮试的人数增加,到底是哪个人的皮试到时间却难以判断。
所以,要制作一个定时系统,随时提醒这些容易忘记时间的人。
钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
因此,研究数字钟及扩大其应用,有着非常现实的意义。
本报告就是对这次课程设计的总结。
主要包含了以下容:简单介绍了系统设计思路和方案,详细介绍各单元电路的原理,介绍了在调试过程中碰到的问题和解决方法,总结了课程设计的收获和体会。
本次课程设计的题目是设计一个数字钟,要求具有以下功能:显示时、分、秒(如11:52:45);可实现手动或自动的对时、分进行校正;计时过程具有报时功能,当时间到达整点时进行报时;有闹钟功能,在达到闹钟时间,闹钟开始起闹并持续一分钟。
2设计方案概述2.1系统设计思路与总体方案一个最简单的数字钟由秒信号发生电路,时、分、秒计数电路,译码显示电路组成,要求有校正时、分和整点报时,闹钟功能,故要加入校时电路和报时电路,闹钟功能电路。
因此其原理可由如下的框图表示出来:图2-1 原理框图2.2总体工作过程一、时间的前进和显示的实现首先由秒信号产生电路生产秒信号,将此信号接到秒计数器的信号输入端。
接着,在这个秒信号的驱动下,秒计数器向分计数器进位,分计数器向时计数器进位,最后通过译码器将计数器中的状态以时间的形式显示出来,这样就实现了时间的前进和显示功能。
二、整点报时的实现在时、分计数器的输出端接收整点的信号,既驱动蜂鸣器的频率信号,从而推动执行部件(蜂鸣器)的工作。
三、校正时、分的实现在秒向分进位的路径中加入一条用手动产生信号的路径,并通过开关来选择接通两条中的手动信号,从而实现对分的校正。
对时的校正的方法与此相同。
四、闹钟功能的实现由门电路将计数电路和闹钟电路连接起来,由蜂鸣器实现闹钟功能。
2.3各功能块的划分和组成一、秒信号产生电路这部分电路由集成电路555定时器与RC组成的多谐振荡器。
二、时、分、秒计数电路及译码、显示电路这部分电路包括6个BCD码计数器,其中两组接成60进制,剩下一组接成12进制,及相应的译码显示器。
之所以要用BCD码计数器,是因为时、分、秒都是要用两位十进制数表示的,因而时、分、秒的个位和十位所对应的计数器的状态输出都应该是BCD码。
又因为时的显示方式是12进制的,故3个计数器分别要接成12、60、60进制的。
所用芯片都为74ls290。
三、时、分的校正电路这部分电路由产生调节信号的装置和数据选择器组成,用单刀双掷开关控制。
四、整点报时电路这部分电路由控制音响的频率信号采集电路、蜂鸣器组成。
五、闹钟功能电路这部分电路由门电路将时分秒计数电路和闹钟电路组合起来,产生的信号接到蜂鸣器而构成闹钟电路。
3单元电路的设计和分析3.1秒信号的发生电路由前面介绍知道,秒信号发生电路由集成电路555定时器与RC组成的多谐振荡器构成。
需要的芯片有集成电路555定时器,还有电阻和电容。
下图为其电路图:图 3-1 秒信号发生电路振荡电路是数字钟的核心部分,它的频率和稳定性直接关系到表的精度。
因此选择555定时器构成的多谐振荡器,其中电容C1为47微法,C2为0.01微法,两个电阻R1=R2=10K欧姆。
此时在电路的输出端就得到了一个周期性的矩形波,其振荡频率为:f=1.43/[( R1+2 R2)C] (3-1)由公式(3-1)代入R1,R2和C的值得,f=1Hz。
即其输出频率为1Hz的矩形波信号。
3.2时、分、秒计数电路显然,这部分电路可分成三小块组成,分别为秒部分、分部分和时部分,在将这三部分进行一定的连接就可得到完整的时、分、秒计数电路。
3.2.1、秒部分该部分的实质是一个60进制的计数器,它的CP脉冲是前面生成的秒信号,它的清零信号可以作为向分进位的进位信号。
它需要的元件有74LS290。
下面是电路连接图:图3-2-1 秒计数电路3.2.2、分部分这部分电路与秒部分电路很相似,只是它的输入的CP是秒部分电路产生的进位信号,它的清零信号作为向时进位时的进位信号。
需要元件和电路图也一样,如下:图3-2-2 分计数电路3.2.3、时部分这部分电路实际上是一个12进制的计数器,它的输入CP为分部分的进位信号,需要的元件与秒、分电路一致。
电路图如下:图3-2-3 小时计数电路3.3校正时、分电路3.3.1、校分电路实现分的校准的基本思路是:断开原来正常的分输入信号即秒电路的进位信号,把频率可以认为控制的手动脉冲接入,从而实现快速的人为的分计数,当到达准确的数值后再接入正常的计数脉冲,进行正常的前进。
很显然,为了实现这一功能,可以选用必要的门电路,通过单刀双掷开关来选择接入分的输入信号的是正常的秒进位信号还是手动脉冲,从而实现对分的校正。
用这种方法还有一个好处,就是当对分校正时,因为正常的秒进位信号已经不能输入进来了,所以秒的前进不会对分产生任何的影响。
以下为接线图:图3-3-1 校分电路可以用下表来表示它的工作情况:表2 校时电路工作状态电键状态选择的输入信号电路的工作状态打开S4(接‘1’)手动脉冲校正状态断开S4(接‘0’)秒进位脉冲正常计数状态3.3.2、校时电路该电路与校正分的思路完全一致,只是它的正常输入信号是分的进位信号而已,此时开关S3与S4功能一样。