电子技术课程设计多功能数字时钟范文

合集下载

[数电课程设计数字电子时钟的实现] 电子时钟课程设计

[数电课程设计数字电子时钟的实现] 电子时钟课程设计

[数电课程设计数字电子时钟的实现] 电子时钟课程设计课程设计报告设计题目:数字电子时钟的设计与实现班级:学号:姓名:指导教师:设计时间:摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。

诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。

功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。

通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。

通过仿真过程也进一步学会了Multisim7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输出方式灵活,如可以随意设置时、分、秒的输出,定点报时。

由于集成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。

关键词:数字钟,组合逻辑电路,时序电路,集成电路目录摘要 (1)第1章概述············································3第2章课程设计任务及要求·······························42.1设计任务············································42.2设计要求············································4第3章系统设计··········································63.1方案论证············································63.2系统设计············································63.2.1结构框图及说明·································63.2.2系统原理图及工作原理···························73.3单元电路设计········································83.3.1单元电路工作原理·······························83.3.2元件参数选择···································14第4章软件仿真·········································154.1仿真电路图··········································154.2仿真过程············································164.3仿真结果············································16第5章安装调试··········································175.1安装调试过程········································175.2故障分析············································17第6章结论···············································18第7章使用仪器设备清单··································19参考文献·················································19收获、体会和建议·········································20第1章概述数字集成电路的出现和飞速发展,以及石英晶体振荡器的广泛应用,使得数字钟的精度稳定度远远超过了老式的机械表,用数字电路实现对“时”、“分”、“秒”数字显示的数字钟在数字显示方面,目前已有集成的计数、译码电路,它可以直接驱动数码显示器件,也可以直接采用才COMS--LED光电组合器件,构成模块式石英晶体数字钟。

多功能数字钟电路设计课程设计论文

多功能数字钟电路设计课程设计论文

电子技术课程设计报告——多功能数字钟电路设计目录一、任务及要求.......................................................... - 1 -(一)设计要求...................................................... - 1 - (二)设计指标...................................................... - 1 -二、数字钟的构成........................................................ - 1 -三、单元电路的设计...................................................... - 2 -(一)秒脉冲产生电路................................................ - 2 - (二)计数器电路.................................................... - 5 - (三)译码显示电路.................................................. - 7 - (四)校时、校分电路............................................... - 10 - (五)整点报时电路................................................. - 11 - (六)闹钟电路..................................................... - 11 -四、元器件清单......................................................... - 12 -五、总电路图........................................................... - 13 -六、电路仿真........................................................... - 14 -(一)开始状态..................................................... - 14 - (二)校时、校分功能............................................... - 14 - (三)满六十秒向分钟进位状态....................................... - 15 - (四)满六十分向小时进位........................................... - 15 - 七、个人小结........................................................... - 16 -一、任务及要求(一)设计要求(1)利用中规模数字集成器件设计、实现所需电路。

电子综合课程设计_多功能数字电子时钟

电子综合课程设计_多功能数字电子时钟

题目班级学号多功能数字电子时钟********************************指导时间**********2010 年12月18日瓷学院电工电子技术课程设计任务书目录1、总体方案与原理说明................................... .. (1)2、单元电路1 ——单片机最小系统 (3)3、单元电路 2 ——指示灯与数码管显示电路. . . . . . . . . . . . . . . . . . . . . . 5 4、单元电路 3 ——键盘检测电路 (7)5、单元电路4 ——A T 2 4 C 0 2 存储电路 (9)6、总体电路原理相关说明 (11)7、总体电路原理图 (13)8、PCB印制电路板图 (14)9、元件清单.............................................................. . . . . . . . . . . . . . . . . . . 1 510 、参考文献 (16)11、设计心得体会............................................................... . . . . . . . . . . . . . . 1 712 、附件: C 源程序..............................................................181、总体方案与原理说明图1:作品总体框图这是一个具有时间、日期、秒表、闹铃以及断电储存数据功能的多功能数字电子时钟。

它主要由以下几部分组成:单片机最小系统;指示灯及数码管显示电路;按键电路;以及AT24C02存储电路。

整机的逻辑框图如右图所示:本时钟的主控芯片是一台AT89S51单片机,AT89S51是一个低功耗,高性能CMOS 啦单片机,片含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATME公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片集成了通用8 位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S51可为许多嵌入式控制应用系统提供高性价比的解决方案。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

数字电子技术课程设计报告报告——电子钟设计

数字电子技术课程设计报告报告——电子钟设计

数字电子技术课程设计报告课题:数字钟的设计与制作学年:专业:班级:姓名:数字电子技术课程设计报告一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计内容及要求〔1〕设计指标①由晶振电路产生1HZ标准秒信号;②分、秒为00~59六十进制计数器;③时为00~23二十四进制计数器;④周显示从1~日为七进制计数器;⑤具有校时功能,可以分别对时及分进展单独校时,使其校正到标准时间;⑥整点具有报时功能,当时间到达整点前鸣叫五次低音〔500HZ〕,整点时再鸣叫一次高音〔1000HZ〕。

〔2〕设计要求①画出电路原理图〔或仿真电路图〕;②元器件及参数选择;③电路仿真与调试。

〔3〕制作要求自行装配和调试,并能发现问题和解决问题。

〔4〕编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图数字钟实际上是一个对标准频率〔1HZ〕进展计数的计数电路。

由于计数的起始时间不可能与标准时间〔如时间〕一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

数字电子钟的总体图如图〔1〕所示。

由图〔1〕可见,数字电子钟由以下几局部组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校对电路;六十进制秒、分计数器、二十进制时计数器及七十进制日计数器;以及秒、分、时的译码显示局部等。

四、主要局部的实现方案1 秒脉冲电路由晶振32768Hz经CD4060分频为2Hz,再经过74LS74一次分频,即得1Hz 标准秒脉冲,提供应时钟计数脉冲。

多功能数字电子时钟毕设

多功能数字电子时钟毕设

摘要20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域。

那些对时间要求非常严格和准确的人来说,时间的不准确会带来非常大的麻烦,数码管显示的时间简单明了而且读数快、时间准确显示到秒。

而机械式时钟依赖于晶体震荡器,可能会导致误差。

所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。

多功能数字电子钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。

数字钟的精度、稳定度远远超过老式机械钟。

在这次设计中,采用LED 数码管显示时、分、秒,分别以12进制和24进制两种方案进行计时。

用1Hz的晶振脉冲做为计数器的触发脉冲,分别设计了12进制、24进制和60进制计数器,根据数码管动态显示原理来进行显示。

通过multism软件对设计的系统进行仿真分析,仿真结果正确。

该系统具有时间显示、时间调整、闹钟、整点报时等功能。

设计的多功能数字钟体积小巧,价格低廉,走时精度高,使用方便,功能完善,有一定的应用价值。

关键字:数字电子钟,LED数码管,触发脉冲,整点报时AbstractThe 20 th century, electronic technology has experienced rapid growth in its promotion, modern electronic product almost permeated each social fields. Those very strict demands on time and accurate people, time is not accurate will bring very big trouble, digital pipe display time simple and fast reading, time show to the seconds. And mechanical clock depends on the crystal oscillator, could lead to errors. So to digital pipe for the clock display pointer type than the clock showed a lot of advantage.Multi-function digital electric clock is the digital circuit to realize "hour"and" minute ", "seconds" digital display timer device. A digital clock precision, stability is far more than old mechanical clock. In this design, LED digital display when the tube, minutes and seconds, respectively to 12 and 24 into system into the two schemes for the timer. 1 Hz with the crystals as the counter pulse triggering pulse, were designed 12 into system, and into the system and 60 into the counter, according to the principle of digital dynamic display to show.Through the multism software to design of system simulation analysis, the simulation results are correct. The system has time to show, time to adjust, the whole point, alarm clock time etc. Function. The design of the multi-function digital clock small size, low prices, when the high precision, easy to use, the perfect function, have certain application value.Key words: digital electric clock , LED nixie tube , trigger pulse , integral point report hour目录1 前言 (1)1.1发展概述 (1)1.2数字电子钟的意义 (2)1.3数字电子钟的研究容 (2)2 开发工具软件介绍 (3)2.1 仿真软件选择 (3)2.2 同类软件比较 (6)3 系统方案设计 (9)3.1设计目的 (9)3.2设计方案 (9)3.3计数器 (11)3.4比较器 (12)4系统实现 (14)4.1.秒脉冲产生电路 (14)4.2系统设计方案一 (17)4.2.1六十进制计数器 (17)4.2.2二十四进制计数器 (18)4.3数字电子钟交流 (19)4.4 校准电路 (20)4.5整点报时电路 (20)4.6闹钟电路 (21)4.7系统设计方案二 (22)4.7.1十二进制 (22)5印刷电路板制作 (25)6 设计结果 (27)7 结论 (29)致 (30)参考文献 (31)1 前言1.1发展概述时钟从被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。

数字电子时钟课程设计报告-5

数字电子时钟课程设计报告-5

数字电子时钟课程设计报告-5数字电子时钟课程设计报告-55.结果分析与讨论在本次数字电子时钟课程设计中,我们成功地设计出了一个简单的数字电子时钟。

通过对数字电子时钟的设计,我们深入理解了数字电路和时钟电路的原理,并且应用了Arduino开发板及其相关的编程语言。

在设计过程中,我们首先确定了所需的功能,包括显示时间、日期、设置闹钟等。

然后,我们根据这些功能需求,选择合适的硬件模块和传感器,例如7段数码管显示模块和实时时钟模块。

接着,我们进行了电路的连接和元器件的焊接,确保电路的正常工作。

在编程方面,我们使用Arduino的编程语言来实现时钟功能。

通过编写合适的代码,我们能够获取实时时钟模块的时间和日期,并将其显示在数码管上。

我们还添加了设置闹钟的功能,当闹钟时间到达时,数码管会显示闹钟提醒信息。

通过测试,我们发现我们设计的数字电子时钟能够准确显示时间和日期,并且可以正常设置和响铃闹钟。

同时,我们注意到在电路连接和编程过程中可能出现一些问题,例如电路连接错误、编程错误等。

然而,我们能够及时发现并解决这些问题,确保了时钟的正常工作。

值得一提的是,在本次课程设计中,我们还获得了很多宝贵的经验和知识。

我们学到了数字电路的设计和实现方法,熟悉了Arduino开发板的使用,掌握了一些电路故障的排除方法。

通过这次设计,我们加深了对数字电子时钟原理的理解,并且提高了我们的动手能力和解决问题的能力。

总体而言,本次数字电子时钟课程设计是一次非常有意义和有收获的实践活动。

通过设计和实现数字电子时钟,我们巩固了课堂知识,提高了实践能力,拓宽了思维的广度。

我们相信,这次课程设计对我们今后的学习和发展将会具有重要的促进作用。

感谢老师的指导和帮助,感谢小组成员的合作和付出!。

多功能数字钟的设计报告

多功能数字钟的设计报告

多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。

二、功能
1、设置时间和日期的功能。

2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。

3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。

4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。

5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。

三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。

1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。

2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。

3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1
2020年4月19日

电子技术课程设计
多功能数字时钟
文档仅供参考

2
2020年4月19日

电子技术课程设计
数字钟的设计
一、设计任务与要求
1.
能直接显示“时”、“分”、“秒”十进制数字的石英数字
钟。
2. 能够24小时制或12小时制。
3.
具有校时功能。能够对小时和分单独校时,对分校时的时候,
停止分向小时进位。校时时钟源能够手动输入或借用电路中的
时钟。
4. 整点能自动报时,要求报时声响四低一高,最后一响为整点。
5. 走时精度高于普通机械时钟(误差不超过1s/d)。
二、方案设计与认证
1、课题分析
数字时钟一般由6个部分组成,其中振荡器和分频器组成标
准的秒信号发生器,由不同进制的计数器,译码器和显示器组成
计时系统。秒信号送入计数器进行计数,把累计的结果以
“时”、“分”、“秒”的十进制数字显示出来。“时”显示由
二十四进制计数器、译码器和显示器构成,“分”、“秒”显示
分别由六十进制计数器、译码器构成。其原理框图如图1所示。
文档仅供参考

3
2020年4月19日

2、方案认证
(1)振荡器
振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基
信号。数字钟的精度,主要取决于时间标准信号的频率及稳定
度。振荡器的频率越高,计时的精度就越高,但耗电量将增大。
一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由
555定时器构成的多谐振荡器作为时间标准信号。
(2)分频器
振荡器产生的时基信号一般频率都很高,要使它变成能用来计时
的“秒”信号,需由分频器来完成。分频器的级数和每级的分频
次数要根据时基频率来定。例如,当前石英电子钟多采用32768
Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的

时显示时译码时计数分显示分译码分计数秒显示
秒译码秒计数校时电分频振荡整点报时

图1
文档仅供参考

4
2020年4月19日

“秒”信号。也可选用其它频率的时基信号,确定好分频次数后
再选择合适的集成电路。
(3)计数器
数字钟的“秒”、“分”信号产生电路都由六十进制计数器构
成,“时”信号产生电路由二十四进制计数器构成。“秒”和
“分”计数器用两块十进制计数器来实现是很容易的,它们的个
位为十进制,十位为六进制,这样,符合人们一般计数习惯。
“时”计数也能够用两块十进制计数器实现,只是做成二十四进
制。上述计数器均可用反馈清零法来实现。
(4)译码显示电路
因本设计选用的计数器全部采用二-十进制集成块,因而计数器
的译码显示均采用BCD-七段显示译码器,显示器采用共阴极或共
阳极的七段显示数码管。
(5)校时电路
在刚开机接通电源或计时出现误差时,都需要对时间进行校正。
校“时”电路的基本原理是将周期为0.5s的脉冲信号直接引进
“时”计数器,同时将“分”计数器置零,让“时”计数器快速
计数,在“时”的指示达到需要的数字后,切断0.5s的脉冲信
号。
(6)整点报时电路
数字钟整点报时是最基本的功能之一。此电路要求每当“分”和
文档仅供参考
5
2020年4月19日

“秒”计数器计到59分50秒时,便自动驱动音响电路,在10s内
自动发出5次鸣叫声。要求每隔1s叫一次,每次持续时间为1s,
共响5次,而且前四次为低音,最后一响为高音,此时计数器正
好为整点(“0”分“0”秒)。
三、单元电路设计与参数计算
(1) 振荡器及分频器
方案一:
石英晶体的振荡频率为4 MHz,不能用来作为数字时钟的输入信
号,必须将它变为1s的脉冲信号。因此,还要对时钟进行分频。
由图2可知,4MHz晶振的输出送到U1芯片分频。U1芯片选用
MCI4064 14级二分频器,由输出端Q14得到214分频的脉冲信号,
fQ14=4 MHz/214=4 MHz/16384≈244.141Hz。再经U2(仍选用
MCI4060)芯片进行28分频,由输出端Q8可得到周期为1s的脉冲
信号,fQ8=244.141Hz/256≈0.594Hz,其周期为1/ fQ8=1/0.594Hz≈
1.048s。能够用U1芯片的Q12、Q13端为整点报时提供频率分别为
1000Hz和500Hz的信号,因为fQ12=4/212M Hz=4/4096MHz=976.56Hz,
fQ13=4/213MHz=4/8192MHz=488.281。

相关文档
最新文档