译码器和分频器实验报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

VHDL硬件描述语言实

验报告

实验一:十六进制7段数码显示译码器

实验二:十分频器设计

姓名:xxx

学号:xxx

班级:xxxx

专业:集成电路工程类

实验一:十六进制7段数码显示译码器一.实验目的

主要是初步学会硬件描述语言训练,即VHDL程序设计。通过利用该语言来实践电路的设计,掌握设计文件的编译,设计电路的波形仿真分析。

二、实验器材

QuartusII软件

三、实验原理

7段数码管是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能做十进制BCD译码,然而数字系统中的处理和运算都是二进制,所以输出表达都是十六进制的,为了满足十六进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。本实验中的7段译码管输出信号LED7S的7位分别接数码管的7个段,高位在左,低位在右。

四、实验内容

完成一个十六进制7段数码显示译码器的程序设计,包括编辑、编译、综合、适配、仿真,引脚及硬件测试,并且将信号引出,在数码管上显示出来。

五、实验步骤:

1.打开Quartus Ⅱ,选菜单File→New Project Wizard,

在弹出的New Project Wizard对话框中选择存入D盘中的hr文件夹中,工程命名为hr,然后点击下一步,

直到后面没有了之后,点击FINISH。之后按File→New

中VHDL File。然后在这个文件中输入程序,程序输入

完成后,先保存在hr文件夹中,然后检测,找到错误

改正。

2.程序文件存盘。选择菜单File→Save As, 将此原理图

文件存于刚建立的目录D:\hr中。

3.绘制一位十进制计数器原理图。选择File→New中

Vector Waveform File然后在波形图的左边空白地

方双击左键两次,对话框中选择Node Finder在选择

List,之后导入到右边,点击OK。之后绘制好电路图,保存在同一个文件里面。

4.仿真测试。全程编译后,启动仿真器,点击processing|start simulation直至出现

simulation was successful,仿真结束。观察仿真结果。

时序仿真图:

六.实验过程所出现的问题及其解决

通过本次实验,初步掌握了语言的初步设计,收获颇多。但在实验过程中也遇到了许多的问题,通过自己的独立思考和老师同学的相互讨论对这个实验有了进一步的了解和认识。在最初建立工程的地方出现了不少问题,因为没有详细阅读教材,导致无法成功建立工程运行程序,最后认真熟读教材后,解决了问题。通过对错误的分析和解决,让自己更好的掌握这一软件的基础操作,为下一次试验打下了见识的基础。

实验二:十分频器设计

实验目的:

1.在Quartus II 环境下程序的输入。

2.熟悉Quartus II 环境下编辑、编译综合、仿真的操作方法。3.掌握和利用EDA软件进行电路设计的详细流程。

4.理解时钟信号和使能信号在VHDL语言中的表达方式。二.实验目的

学习简易分频器的设计、分析和测试方法。

三.实验器材

QuartusII软件

四.实验内容

用VHDL语言设计一个十分频器,并且完成仿真测试,且仿真时占空比为50%

实验步骤

五.首先建一个文件夹命名为fenpin, 建立工程命名为fenpin, 然后编写程序并且验证程序是否正确,正

确后将程序保存在fenpin这个文件夹里,然后完成

仿真将程序中的CLK、FINOUT端口添加进示波器中,并且将CLK端口输入时钟信号,之后点击仿真。六.程序图和电路图

相关文档
最新文档