多功能电子钟 2 - 副本

合集下载

多功能六位电子钟说明书

多功能六位电子钟说明书

多功能六位电子钟说明书一、原理说明:1、显示原理:显示部分主要器件为3只两位一体共阳极数码管,驱动采用 PNP 型三极管驱动,各端口配有限流电阻,驱动方式为动态扫描,占用 P3.0~P3.5 端口,段码由P1.0~P1.6输出。

冒号部分采用 4 个Φ3.0的红色发光二极管,驱动方式为独立端口P1.7驱动。

2、键盘原理:按键 S1~S3 采用复用的方式与显示部分的 P3.5、P3.4、P3.2 口复用。

其工作方式为,在相应端口输出高电平时读取按键的状态并由单片机消除抖动并赋予相应的键值。

3、迅响电路及输入、输出电路原理:迅响电路由有源蜂鸣器和 PNP 型三极管组成。

其工作原理是当 PNP 型三极管导通后有源蜂鸣器立即发出定频声响。

驱动方式为独立端口驱动,占用P3.7端口。

输出电路是与迅响电路复合作用的,其电路结构为有源蜂鸣器,5.1K定值电阻R6,排针J3并联。

当有源蜂鸣器无迅响时J3输出低电平,当有源蜂鸣器发出声响时J3输出高电平,J3可接入数字电路等各种需要。

驱动方式为迅响复合输出,不占端口。

输入电路是与迅响电路复合作用的,其电路结构是在迅响电路的 PNP 型三极管的基极电路中接入排针J2。

引脚排针可改变单片机I/O口的电平状态,从而达到输入的目的。

驱动方式为复合端口驱动,占用P3.7端口。

4、单片机系统:本产品采用了单片机AT89C2051为核心器件,并配合所有的外围电路,具有上电复位的功能,无手动复位功能。

二、使用说明:1、功能按键说明:S1为功能选择按键,S2为功能扩展按键,S3为数值加一按键。

2、功能及操作说明:操作时,连续短时间(小于1秒)按动S1,即可在以上的6个功能中连续循环。

中途如果长按(大于2秒)S1,则立即回到时钟功能的状态。

1)时钟功能:上电后即显示10:10:00 ,寓意十全十美。

2)校时功能:短按一次 S1,即当前时间和冒号为闪烁状态,按动 S2 则小时位加 1,按动 S3则分钟位加1,秒时不可调。

多功能电子时钟说明书

多功能电子时钟说明书
设计题目:多功能电子钟
实现的功能如下:
1、显示时间;
2、调节时间;
3、设置闹钟时间;
4、整点报时(可开可关可随时停止);
扩展功能:
5、简易计算器(可算加减法)计算、秒表(可精确到百分之一秒和十分之一秒,可切换);
7、倒计时(可设置倒计时时间,减到0后蜂鸣器响);
电子时钟的操作方法,如下图:
下面,具体介绍各功能使用方法:
1、计算器功能,如下图:
2、时钟功能,如下图:
3、秒表倒计时功能,如下图:
秒表倒计时功能倒计时功能秒表功能按键1按键2退出秒表倒计时功能按键esc开始计时按键ok十分之一秒百分之一秒按键按键0暂停计时按键继续计时按键ok存储数据1存储数据2存储数据3按键1按键2按键3暂停计时按键按键按键读取数据按键读取数据1读取数据2读取数据3按键1按键2按键3清零清零清零按键按键按键按键按键按键09可以输入数据开始倒计时按键ok减到0蜂按键esc关闭蜂鸣器按键清零按键0

多功能数字钟

多功能数字钟

电子技术课程设计多功能数字钟学院:专业、班级:姓名:学号:指导老师:2008年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (11)(3)管脚图 (11)6、设计心得体会 (12)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。

2、要求数字钟具有清零、调节小时、分钟功能。

3、具有整点报时,整点报时的同时LED灯花样显示。

二、总体框图多功能数字钟总体框图如下图所示。

它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。

系统总体框图三、选择器件网络线若干/人、共阴八段数码管6个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动8位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。

四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。

(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。

VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk,reset:in std_logic;daout:out std_logic_vector(5 downto 0));end entity hour;architecture fun of hour issignal count:std_logic_vector(5 downto 0);begindaout<=count;process(clk,reset)beginif(reset='0') thencount<="000000";elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#24#) thencount<=count+7;else count<="000000";end if;elsif(count<16#23#) thencount<=count+1;else count<="000000";end if;end if;end process;end fun;<1.2>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk,clk1,reset,sethour:in std_logic;enhour:out std_logicdaout:out std_logic_vector(6 downto 0));end entity minute;architecture fun of minute issignal count:std_logic_vector(6 downto 0); begindaout<=count;process(clk,reset,sethour)beginif(reset='0') thencount<="0000000";elsif(sethour='0') thenenhour<=clk1;elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#60#) thenif(count="1011001") thenenhour<='1';count<="0000000"; else count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#) thencount<=count+1;enhour<='0';elsecount<="0000000";end if;end if;<1.3>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY second ISPORT(clk,reset,setmin:IN STD_LOGIC;enmin:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0)); END entity second;ARCHITECTURE fun OF second ISSIGNAL count:STD_LOGIC_VECTOR(6 downto 0); BEGINdaout<=count;process(clk,reset,setmin)beginif(reset='0') thencount<="0000000";elsif(setmin='0')thenenmin <=clk;elsif(clk'event and clk='1')thenif(count(3 downto 0)="1001")thenif(count<16#60#)thenif(count="1011001")thenenmin<='1';count<="0000000";ELSE count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#)thencount<=count+1;enmin<='0';elsecount<="0000000";end if;end if;end process;END fun;(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。

多功能数字钟的设计和制作

多功能数字钟的设计和制作

目录摘要 (1)1数字钟的结构设计及方案选择 (2)1.1振荡器的选择 (2)1.2计数单元的构成及选择 (3)1.3译码显示单元的构成选择 (3)1.4校时单元电路设计及选择 (4)2 数字钟单元电路的设计 (4)2.1振荡器电路设计 (4)2.2时间计数单元设计 (4)2.2.1集成异步计数器74LS390 (5)2.2.2 用74LS390构成秒和分计数器电路 (5)2.2.3用74LS390构成时计数器电路 (6)2.2.4 时间计数单元总电路 (7)2.3译码显示单元电路设计 (7)2.4 校时单元电路设计 (7)2.5整点报时单元电路设计 (1)3 数字钟的实现电路及其工作原理 (9)4电路的搭建与调试 (10)5结束语 (10)参考文献 (11)附录1: (12)摘要数字钟被广泛用于个人家庭及公共场所,成为人们日常生活中的必需品。

诸如定时自动报警、按时自动打铃、定时广播、自动起闭路灯、定时开关烘箱、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意。

数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

数字电子钟有以下几部分组成:振荡器,分频器,60进制的秒、分计时器和12进制计时计数器,秒、分、时的译码显示部分及校正电路等。

关键词:数字钟 555多谐振荡器计数器 74LS390 74LS48数字电子时钟的设计及制作1数字钟的结构设计及方案选择数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

主要由振荡器、分频器、计数器、译码器显示器和校时电路组成。

振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,通常使用石英晶体震荡器,然后经过分频器输出标准秒脉冲,或者由555构成的多谐振荡器来直接产生1HZ的脉冲信号。

秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。

电子时钟完整版 有实物图

电子时钟完整版 有实物图
3
3.6 校时电路……………………………………………………………….14 3.6.1 电路结构及工作原理……………………………………………14 3.6.2 电路仿真…………………………………………………………14 3.6.3 元器件的选择及参数确定………………………………………15
3.7 整点报时电路………………………………………………………….16 4 电路总体仿真………………………………………………………………16 5 电路安装、调试与测试……………………………………………………18
7
图 3.2 由仿真图可知,该电路可以得到 5V 的直流电源,因此可以做为整个系统的电源。
3.1.3 元件的选择
电网供给交流电压(220v 50HZ)经变压器降压后,得到符合电路需要的 交流电压,然后由整流桥经整流后变换成方向不变、大小随时间变化的脉动电流, 然后有滤波电容滤去其中的杂波,并且可以抵消线路的电感效应防止产生自激震 荡,但是这样的直流电压还会随电网和负载的变化而变化,因此在该电路中又使 用了稳压芯片(7805)可以得到比较理想的直流电压,输出端的滤波电容的作用 是用以滤除输出端的高频信号,改善电路的暂态效应。
这里我用 555 定时器构成一个多谐振荡器其产生的频率为 100Hz,然后 经过整形、分频获得 1Hz 的秒脉冲。电路原理图如图 3.3
8
图 3.3 3.2.2 电路仿真结果如下图 3.4
图 3.4 由仿真图可知,产生的波形符合我们的标准,周期大约为 1 秒,大致可以做为秒 脉冲信号。
3.2.3 元件的选择
电子技术综合训练
设计报告
题目:
多功能电子钟的设计
姓名: 学号: 班级: 同组成员: 指导教师: 日期:
杜鹏 10020106 控制工程基地一班 宋峰 杨新华 2012 年 12 月 31 日星期一

多功能数字电子钟_VHDL

多功能数字电子钟_VHDL

四、各功能模块的源程序代码 :
-- CONTOR 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity contor is
1 )“小时” 校时状态: 进入“小时”校时状态后,显示 “小时” 的数码管闪烁,每按动“ k” 键一次,“小时” +1,若不按动“ k”键 则小时数不变,一直按下“ k” 键则小时数一 4Hz 的频率递增计数。
2 )“分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“ k” 键一次,“分” +1,若不按动“ k”键则分数 不变,一直按下“ k” 键则分数一 4Hz的频率递增计数。
chs,cms,css,f4 :in std_logic; bsg,bmg,bhg,bsd,bmd,bhd :buffer std_logic_vector(3 downto 0); comout :out std_logic); end time_com; architecture time_comx of time_com is begin com:process(hh,mh,sh,hl,ml) begin if(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)then comout<='1'; else comout<='0'; end if; end process; set:process(f4) begin if(f4'event and f4='1')then if(chs='1'and k='0')then if(bhg="0010" and bhd="0011")then bhd<="0000";bhg<="0000"; elsif(bhd="1001")then bhd<="0000";bhg<=bhg+1; elsif(bhd="0000"or bhd="0001" or bhd="0010"or bhd="0011"or bhd="0100"or bhd="0101"or bhd="0110"or bhd="0111"or bhd="1000")then bhd<=bhd+1; end if; end if; end if; end process; process(f4) begin if(f4'event and f4='1')then if(cms='1'and k='0')then if(bmg="0101" and bmd="1001")then

联想智能时钟2用户指南基础知识说明书


Touch screen
5
Volume buttons
Microphone mute 6
switch
• All pictures and illustrations in this document are for your reference only and may differ from the final product.
The Lenovo Smart Clock 2 has the Google Assistant built in. Just say “Hey Google” or “Ok Google” to get started. You can say “Hey Google, what can you do?” to learn about the available services of your Google Assistant on your Smart Display. For example: If you say “Hey Google, what’s the weather this weekend?”, the Lenovo Smart Clock 2 will tell you the weather information.
1
2
Model Lenovo SE-A61UW
3
4
1
Wireless charging area 2
Dock connector
4
USB connector
3
Night light
• Align the central area of your mobile phone with the “+” position for continuous and stable charging.

muji电子钟四个按键说明书

muji电子钟四个按键说明书
一、电子钟功能介绍
可调整运行的电子钟具有三种工作状态:“P.”状态、运行状态、调整状态。

(1)、“P.”状态,依靠上电或者复位键进入,在此状态下,按B、C、D键均无效,按A键有效,进入运行状态;
(2)、运行状态,按奇数次A键进入,在此状态下,按B、C、D键均无效,只有按A键有效,按下A键后,退出运行状态,进入调整状态;
(3)、调整状态,按偶数次A键进入,在此状态下,按B、C、D键均有效,如按下A键,则推出调整状态,进入运行状态;B、C、D分别为调时、分、秒,分别使之加1;调整后,按A键退出调整状态。

二、实现时钟计时的基本方法
(1)计数初值计算:
把定时器设为工作方式1,定时时间为50ms,则计数溢出20次即得时钟计时最小单位秒。

假设使用T/CO,方式1,50ms定时,fosc=12MHz。

则初值X满足us us MHz X 5000012*12
1
*
)2(16=-H CB X***15536→→=
(2)采用中断方式进行溢出次数累计,计满20次为秒计时(1秒);(3)从秒到分和从分到时是通过累计加和数值比较实现。

三、电子钟的时间显示
电子钟的时钟时间在六位数码管上显示,因此,在内部RAM中设置显示缓冲区共8个单元。

四、电子钟的启、停及时间调整
电子钟设置4个按键,通过程序控制来完成电子钟的启、停及时间调整。

A键控制电子钟的启、停;
B键调整时;
B键调整分;
B键调整秒。

多功能电子时钟说明文档


Delay_Nms(1); Week = RandomRead_I2C(0x08); Delay_Nms(1); //Init_Time();
//主循环 while(1) {
WDTRST=0x3c; //由于在按键调节 分钟 的时候要停定时器 2,这时不能在定时 器喂狗,故到主程序里喂狗;
SpitTime(); //拆分时间数据
四、硬件电路图
VCC
+
89S52
VCC P 1
S22
41
R1 6
32
4 P INKE Y 1 K
C J3 R1 7
1 0 UF
10K
C2 20P
Y2
1 1 .0 5 9 2 M Hz
C3 20P
D B 11 D B 22 D B 33 D B 44 D B 55 D B 66 D B 77 D B 88
1 2 3 4 5 6 7 8 9
VCC 1 0 K
F M VCC
DIOL A
R 1 8 1 8b 1 8 B2 0 S CL S DA
c
e
Q1 P NP
89S51
VCC
WR
RD
R2 2 R2 3
10K 10K
V SS 4
U6
1 2 3
A0 A1 A2
S D5A S C6L
7
S DA S CL WP
Init_MCU(); //初始化 MCU Init_I2C(); //初始化 I2C Init_LCD(); //初始化 LCD1602 Init_Time(); //先初始化时间和先读 I2C 有区别。先初始化时间:以后开机的时间是从 I2C 读出,初始化失效。

Philips TAR3205数字FM双闹钟说明书

Philips Radio-réveilTuner numérique FM Double alarmeTAR3205Réveillez-vous du bon piedSimplifiez-vous la vie avec ce radio-réveil FM numérique. Ses nombreuses présélections facilitent la recherche de stations, et vous pouvez régler l'alarme pour qu'elle vous réveille au son d'une radio dont le volume augmente progressivement. Vous souhaitez dormir un peu plus longtemps ? Il vous suffit d'appuyer sur le bouton de répétition de l'alarme.Compact et polyvalent•Radio FM numérique•Tuner numérique avec jusqu'à 10 présélections•Conception compacte. Dimensions : 131 x 54 x 131 mmFaites de beaux rêves et réveillez-vous en douceur•Volume progressif de l'alarme. Le volume de la radio augmente lentement.•Double alarme. Un réveil, deux alarmes.•Répétition de l'alarme pour gagner quelques minutes de sommeil•Arrêt programmé. Endormez-vous avec la radio en fond sonore.La simplicité incarnée•Affichage simple et clair•Commandes sur la face supérieure•Batterie de secours en cas de panne de courantDate de publication2023-05-22Version: 7.1.512 NC: 8670 001 66663EAN: 48 95229 10824 0© 2023 Koninklijke Philips N.V.Tous droits réservés.Les caractéristiques sont sujettes à modification sans préavis. Les marques commerciales sont la propriété de Koninklijke Philips N.V. ou de leurs détenteurs Caractéristiques Radio-réveil Tuner numérique FM Double alarmePoints forts Radio FM numérique Le tuner FM offre une réception parfaitement claire, et vous pouvez définir jusqu'à 10 présélections pour vos stations favorites. L'heure est parfaitement lisible sur l'écran.Arrêt programméProfitez d'un sommeil réparateur avec votre station préférée en fond sonore. Vous pouvez régler la mise en veille programmable pour écouter la radio pendant un maximum de 2 heures. Une fois le temps prédéfini écoulé, la radio s'éteint.Double alarme La fonction de double alarme vous permet de définir deux alarmes différentes, tandis que la fonction d'alarme avec volume progressif déclenche une alarme au volume croissant pour vous réveiller graduellement.Batteries Ce réveil est équipé d'une batterie de secours. En cas de panne de courant, vous n'aurez pas besoin de régler à nouveau l'horloge et vos réglages d'alarme seront sauvegardés.Tuner/réception/transmission •Antenne: Antenne FM •Bandes du tuner: FM •Plage de fréquences FM: de 87,5 à 108 MHz •Nombre de stations présélectionnées: 10•RDS:NonSon •Puissance de sortie (RMS): 200 mW •Système audio:MonoEnceintes •Nombre de haut-parleurs à large bande: 1•Diamètre du/des haut-parleur(s) à large bande: 2"Connectivité•Entrée audio:Non •Bluetooth ®: NonHorloge •Afficheur: LED •Type:NumériqueAlarme •Nombre d'alarmes: 2•Source d'alarme: Radio FM, Buzzer •Fonction de répétition de l'alarme (snooze): Oui, 9 min •Arrêt programmé: 15/30/60/90/120 minAlimentation •Type d'alimentation: Entrée CA •Entrée secteur: 100-240 V, 50-60 Hz •Consommation électrique en fonctionnement: < 3 W •Consommation en veille: < 1 W •Piles de secours: AAA x2 (non incluses)Accessoires •Accessoires fournis: Certificat de garantie, Guide de démarrage rapide Dimensions du produit •Dimensions du produit (l x H x P): 13,1 x 5,4 x 13,1 cm •Poids: 0,203 kg Dimensions du produit emballé•EAN: 48 95229 10824 0•Dimensions de l'emballage (l x H x P): 13,6 x 17 x 6 cm •Poids brut: 0,349 kg •Poids net: 0,204 kg •Poids à vide: 0,145 kg •Nombre de produits inclus: 1•Type d'emballage: Boîte •Type d'installation en rayon: Disposition。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

仲恺农业工程学院
课程设计
题目:多功能电子钟
姓名:
院(系):信息科学与技术学院
专业班级:电子信息工程121班
学号:
指导教师:许慰玲
日期:2014年6月16日— 6月27日
目录
一、课程设计目的 (2)
二、课程设计目标 (2)
三、主要器件,软件环境 (2)
四、设计思路 (3)
五、电路模块 (3)
1、时钟计时模块和电路: (3)
(1)60进制设计思路 (3)
(2)24进制设计思路 (4)
(3)时钟控制和校对电路: (5)
(4)闹钟的设计思路 (5)
(5)附件功能模块(整点与半点报时) (5)
2、总图: (6)
六、总结和心得 (6)
多功能电子钟
一、课程设计目的
1、掌握数字系统的分析和设计方法
2、能够熟练的、合理的选用集成电路器件
3、熟悉EWB软件的使用。

二、课程设计目标
电子钟——> 带调时功能的电子钟——> 带调时功能的电子闹钟——>附加功能
功能要求:
1、进行24小时制的时、分、秒计时
2、实现时间的LED数码管显示
3、具有时间校对功能
4、具有闹钟设置功能,能发出相应的闹钟提示
5.闹钟具有整点报时和半点报时功能(附加功能)
三、主要器件,软件环境
1、74LS160芯片,简单逻辑门,七段数码显示管,时钟脉冲。

2、EWB——虚拟电子工作平台。

3、74LS160功能简介
74LS160芯片是一个具有清零、置数、保持、十进制计数等功能的计数器。

其引脚图如下:
CLR是清零端,低电平有效;
CLK是脉冲输入端口,高电平有效;
ABCD数据输入端,
当LOAD低电平有效时,输入脉冲信号,
数据置入;
Qa、Qb、Qc、Qd数据输出端;
ENP,ENT使能控制端,当ENP*ENT=0时,
计数器为保持状态;
RCO为进位输出端。

四、设计思路
1、计时模块设计、分钟、秒钟模块设计(60进制)、小时模块设计(24进制)
2、调时模块设计
3、显示模块、闹钟模块设计
4、附件功能模块设计
5、工作原理:
(1)由时钟脉冲产生稳定的1Hz的脉冲信号,作为标准脉冲。

(2)秒计数器计60后向分计数器进位,分计数器计60分后向时计数器进位,小时计数器设置24进制计数器,满24后清零,重新开始计时。

(3)闹钟部分的计数,由按键产生的脉冲来计数,可以分别设定分和时。

(4)时钟部分可以通过按键开关对时钟进行时间的校对。

(5)当时钟的信号或显示和闹钟的信号或显示一致时,蜂鸣器响(用彩色指示灯代替),时间为1分钟。

蜂鸣器设有开关。

(实现开启或关闭闹钟功能)
五、电路模块
1、时钟计时模块和电路:
(1)60进制设计思路
用两个10进制的74LS160芯片组成一个100进制的模块,当60进制模块的个位的74LS160计数器数到10的时候向前发出一个进位信号,当60进制模块的十位的74LS160计数器数到6是向前发出一个进位信号,同时清零。

(如图)
(2)24进制设计思路
用两个10进制的74LS160芯片组成一个100进制的模块,当24进制模块的个位的74LS160计数器数到10的时候向前发出一个进位信号,当24进制模块的十位的74LS160计数器数到2以及作为个位的74LS160计数器数到4的时候同时给24进制模块清零。

(如图)
(3)时钟控制和校对电路:
设计思想:把整个时钟分成3个部分(秒、分、时)来校对,在输入脉冲信号的后面加个开关来控制整个时钟的工作以及对该时钟秒的时间校对,再另外增加一个3Hz的脉冲通过开关分别与对分、时模块接收上一模块发出的进位信号线连接(如下图最左边的开关),通过开关来校对时间。

(闹钟的时间设定控制原理基本一致)
(4)闹钟的设计思路
用4块10进制的74LS160芯片组成一个可以设定时和分的闹钟设定模块,当时钟模块中对应的时、分上的信号(数码管的数字)与闹钟模块中对应的时、分上的信号(数码管的数字)一致是,闹钟发出蜂鸣的声音(用红色指示灯代替),持续1分钟(下面原理图只对时钟的分和闹钟的分进行了实验比较。


(5)附件功能模块(整点与半点报时)
当时钟分的个位为0或十位为3和时钟的秒为0时,发出一个高电平信号(报时信号为时钟的分与秒之间的红色指示灯,每次报时信号维持2秒),即可实现整点与半点报时功能。

2、总图:
时钟: 时 分 秒 , 闹钟:时 分
六、总结和心得
通过这次课程设计过程,我知道通过EWB 软件,可以方便的设计电路图,并容易仿真。

学会以及熟悉了EWB 软件的使用。

在实验刚开始的时候,因为对EWB 软件以及74LS160芯片工作原理不熟悉,基本上不知道从何下手,后来,经过老师的指导以及与同学的讨论,交流才有了初步的认识。

在网上查阅资料的时候发现师兄师姐们设计的电路总图看起来非常复杂,很难看懂,最后没办法,只能结合他们的文字说明,以及原理截图大概理解一下他们设计的思想,再结合自己的分析出来的理论结果,加以实践论证,分模块一部分一部分的做,然后再把模块合成总图,经过不断逻辑推理,把基本完成的总图再不断的简化,直到
正点、半点报时指示灯 闹钟响铃指示灯
自己满意为止(刚开始的时候,自己是用Vcc通过开关分别与每块芯片的置数端(A、B、C、D)连接,通过控制开关来实现时钟和闹钟的时间设定,做了一个模块后感觉要用到的开关太多,控制起来太复杂了,所以就放弃了那个设想)。

最后就是总图的布局,这是最考验人的耐心的一部分,一点也不能浮躁。

总的来说,这次课程设计是成功的,通过这次课程设计,我更加地理解了实践出真知的真理,除此之外,我还深切体会到,做事要有耐心,有自信,更要善于与同学们交流。

参考资料
王毓银.数字电路逻辑设计(第二版).高等教育出版社。

往年多个院校师兄师姐的课程设计报告。

(网上查阅)。

相关文档
最新文档