数字电子技术设计报告电子版

合集下载

数字电子技术课程设计报告(样例)

数字电子技术课程设计报告(样例)

大庆师范学院数字电子技术课程设计报告设计课题: 基于VHDL自动售货姓名: 杨浩北学院: 物电学院专业: 电子信息工程班级: 08级(2)班学号: 200801071425 日期 2011年5月24日—2011年6月4日指导教师:目录1.设计的任务与要求 (2)1.1设计指标 (2)1.2设计要求 (2)2.系统方案论证 (2)2.1程序设计 (3)2.2模拟仿真波形 (4)2.3模拟仿真波形分析 (5)3实验总结 (5)4参考文献 (5)自动售货机设计1. 设计的任务与要求本设计要求使用VHDL设计制作一个自动售货机控制系统,该系统能完成货物信息储存,进程控制,硬币处理,自动找零等功能,判断钱币是否够用,当投入一元五角时输出货物,当投入两元时输出货物并找五角钱1.1设计指标1. 有两种硬币:1元或5角,投入1元5角硬币输出货物。

2投入2元硬币输出货物并找5角零钱。

1.2 设计要求1. 画出电路原理图(或仿真电路图);2. 元器件及参数选择;3. 编写设计报告写出设计的全过程,附上有关资料和图纸,有心得体会。

2. 方案论证Moore型状态机设计,完成自动售货机VHDL设计。

要求:有两种硬币:1元和5角,投入1元5角硬币输出货物,投入2元硬币输出货物并找5角零钱。

状态定义:S0表示初态,S1表示投入5角硬币,S2表示投入1元硬币,S3表示投入1元5角硬币,S4表示投入2元硬币。

输入信号:state_input (0)表示投入1元硬币,state_input (1)表示投入5角硬币。

输入信号为1表示投入硬币,输入信号为0表示未投入硬币。

输出信号:comb_outputs (0)表示输出货物,comb_outputs (1)表示找5角零钱。

输出信号为1表示输出货物或找钱,输入信号为0表示不输出货物或不找钱。

根据设计要求分析,得到状态转换图如图所示。

状态S0、S1、S2、S3和S4;输入state_inputs(0,1);输出comb_outputs(0,1);输出仅与状态有关,因此将输出写在状态圈内。

数字电子技术课程设计报告模板

数字电子技术课程设计报告模板

.《数字电子技术》课程设计题目:基于FPGA的汽车尾灯控制器设计院系:工学院专业班级:电子信息工程12秋1班姓名:学号:小组成员:指导教师:赵兰、周丽婕、徐振完成日期2015年1月目录1 引言 (1)2 Quartus II软件、FPGA硬件介绍 (2)2.1 Quartus II软件介绍 (2)2.2 FPGA硬件介绍 (2)3 汽车尾灯控制器的总体方案 (3)3.1汽车尾灯控制器的需求分析 (3)3.1.1基本要求 (3)3.1.2 特色功能 (3)3.2汽车尾灯控制器的总体工作原理 (3)4 汽车尾灯控制器的各模块仿真 (5)4.1 基本模块 (5)4.1.1 主控模块 (5)4.1.2 左边灯控制模块 (5)4.1.3 右边灯控制模块 (6)4.2 特色功能模块 (6)4.2.1 时钟变频模块 (6)4.2.2 定时器模块 (7)5 汽车尾灯控制器的整体电路仿真 (9)5.1 汽车尾灯控制器的整体框图 (9)5.2 汽车尾灯控制器的仿真波形 (9)6 程序下载调试 (10)6.1 汽车不同状态时的下载调试图 (10)6.1.1正常行驶状态 (10)6.1.2 汽车左、右转向时 (10)6.1.3 刹车状态 (10)6.1.4 故障状态 (10)7 心得体会 (12)参考文献 (13)附录 (14)1 引言汽车作为现代交通工具已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,已经从过去的全人工开关控制发展到了智能控制。

……2 Quartus II软件、FPGA硬件介绍2.1 Quartus II软件介绍Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程[1]。

数字电子技术课程设计报告

数字电子技术课程设计报告

数字电子技术课程设计报告课题:数字钟的设计与制作学年:09学年学期:第二学期专业:民航机务工程班级:0707301姓名:070730123 欧阳晓宇070730126 蔡秋政时间:2009年6月20日—2009年6月26日数字电子技术课程设计报告一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计内容及要求(1)设计指标①由晶振电路产生1HZ标准秒信号;②分、秒为00~59六十进制计数器;③时为00~23二十四进制计数器;④周显示从1~日为七进制计数器;⑤具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;⑥整点具有报时功能,当时间到达整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。

(2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真与调试。

(3)制作要求自行装配和调试,并能发现问题和解决问题。

(4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

数字电子钟的总体图如图(1)所示。

由图(1)可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校对电路;六十进制秒、分计数器、二十进制时计数器及七十进制日计数器;以及秒、分、时的译码显示部分等。

数字电子技术课程设计报告

数字电子技术课程设计报告

数字电子技术课程设计报告一、设计目的和任务:本设计项目旨在设计一个数字钟,能够显示当前时间,并具备时间设置功能。

主要任务包括:设计数字时钟的电路原理图、PCB布局,选取合适的数码管和时钟芯片,完成数字时钟的硬件组装和软件编程。

二、设计原理和方案:1.数码管原理:数码管是一种显示设备,由8段共阳极(或共阴极)、7段共阴极(或共阳极)的LED组成。

每个LED可以独立控制亮灭,通过对应的引脚控制可以达到显示不同数字的效果。

2.时钟芯片原理:时钟芯片是一种集成电路,能够提供精确的时间信号。

通过和微处理器或微控制器的连接,可以实现对时间的读取和设置功能。

本设计方案采用四位共阴极的数码管显示当前时间,以及四个按键实现时间设置功能。

时钟芯片选用DS1302,它具备低功耗、抗干扰和精准计时等特点,通过SPI接口连接到单片机。

三、硬件设计:1.数码管显示电路:将四位共阴极数码管的8个段接口分别连接到单片机的GPIO口,通过控制GPIO口的电平变化,实现数码管显示0-9的数字。

2.时钟芯片连接电路:将DS1302的SCK、RST和DAT引脚分别接到单片机的SPI接口的对应引脚,以实现单片机和时钟芯片之间的信息交换。

3.按键电路:设计四个按键实现时间设置功能,通过连接到单片机的GPIO口,通过检测按键的状态变化来触发相应的时间设置操作。

四、软件设计:1.时钟初始化:在程序启动时,先进行时钟芯片的初始化,设置年月日时分秒的初始值。

2.读取时间:通过SPI接口读取时钟芯片的时间信息,包括年月日时分秒。

3.显示时间:将读取到的时间信息转换成相应的数字,通过控制数码管的GPIO口实现数字的显示。

4.时间设置:通过检测按键的状态变化,触发相应的时间设置操作,将设置的年月日时分秒信息写入到时钟芯片中。

五、结果和分析:经过硬件组装和软件编程,实现了数字时钟的设计。

通过按键可以设置时钟的年月日时分秒信息,数码管能够准确地显示当前时间。

《数字电子技术》课程设计报告

《数字电子技术》课程设计报告

《数字电子技术》课程设计总结报告题目:1、红绿灯控制器2、汽车尾灯控制器设计日期:2011年5月21日目录一.设计任务书二.设计框图及整机概述三.各单元电路的设计方案及原理说明四.调试过程及结果分析五.附录(包括:整机逻辑电路图和元器件清单)六.设计、安装及调试中的体会七、对本次课程设计的意见及建议红绿灯控制器一、设计任务书1、题目:红绿灯控制器2、设计要求设计一个红绿灯控制器设计应具有以下功能基本设计要求:设计一个红绿灯控制器控制器设计应具有以下功能(1)东西方向绿灯亮,南北方向红灯亮。

.(2)东西方向黄灯亮,南北方向红灯亮。

(3)东西方向红灯亮,南北方向绿灯亮。

(4 ) 东西方向红灯亮,南北方向黄灯亮。

要求有时间显示(顺数、逆数皆可),时间自定。

(大于15秒以上),可添加其他功能。

3、给定条件只能采用实验室提供的中小规模电路进行设计。

(不一定是实验用过的)十字路口交通示意图二、设计框图及整机概述该电路主要由以下五部分组成:1、状态控制器2、状态译码器3、减法计数器4、秒脉冲发生器55、预置数电路信号灯显示电路整机概述:该电路旨在模拟交通灯基本工作原理。

在预置数电路信号灯显示电路中设定东西方向绿灯(38秒)、黄灯(10秒)、红灯(28秒),电路按照设计要求的状态工作。

设计结构框图:三.各单元电路的设计方案及原理说明1状态控制器(1) 交通灯工作流程如图2所示(2) 状态控制器信号灯四种不同的状态分别用S 0(主道绿灯亮,支道红灯亮)、S 1(主道黄灯亮,支道红灯亮)、S 2(主道红灯亮,支道绿灯亮)、S 3(主道红灯亮,支道黄灯亮)表示,其状态编码及状态转换图3所示。

主、支道上红、绿、黄(用蓝灯表示)信号灯的状态主要取决状态控制器的输出状态。

他们之间的关系见真值表如表2所示。

对于信号灯的状态,“1”表示灯亮,“0”表示灯灭。

所以有交通灯的显示电路如图5所示: 3. 减法计数器本电路采用两片同步十进制加/减法计数器74LS190,用串行进位方式构成一个百进制减法计数器,再采用预置数的方法,构成三十八进制的减法计数器。

数字电子技术课程设计报告

数字电子技术课程设计报告

数字电子技术课程课程实践报告书题目:基于 LCD1602的时钟+温度远程监控系统姓名:刘天赐徐玉华严晓雪学号:143621030 143621051 143621032专业:电子信息科学与技术指导老师:刘江华设计时间:2016年 6 月电子与信息工程学院基于 LCD1602的时钟+温度远程监控系统1.引言1.1设计意义温度是工、农业生产中常见的被控参数之一。

温度监控在工业生产中占据着重要的地位。

随着微电子技术和通信技术的发展,远程监控和远程控制得到了广泛的应用。

本设计采用STC89C52rc或者STC90C51单片机作为控制单元,采用温度传感器Ds18b20对现场温度数据进行远程无线测量与监控。

整个系统包括两个系统,即主系统和从系统。

主系统完成对现场测定温度值、设定值显示、实际值显示、失控报警和接收数据功能。

从系统完成温度采集、温度控制和发送数据功能。

该系统结构简单实用、功能齐全,通用性强,可被用于许多工业生产领域。

1.2系统功能要求1.1602液晶屏显示温度+时间2.HC-05蓝牙串口发送温度和时间数据3.手机蓝牙设置时间数据按键选择 P2^2口接按键1P2^1口接按键2P2^2口接按键3P2^3口接按键4总体使用默认初始化时间 2016.4.25 星期天 12.00.00右下角显示温度按键1暂停时钟修改时钟按键2选择修改位,每按一次修改一个选择位按键3修改时间值,每按一次值增加1 到满自动返回为0按键4恢复默认时间扩展功能手机接收实时数据手机打开附加包内的apk软件链接蓝牙选择字符流模式即可看到每隔4秒单片机发出的一条控制命令显示格式日期时间温度间隔时间可调1.3本组成员所做的工作刘天赐:总体组织和设计、芯片程序、硬件调试徐玉华:硬件调试、串口调试、芯片程序严晓雪:材料收集、图片处理、撰写报告2.方案设计2.1 单片机芯片的选择方案(1): 采用AT89S51芯片作为硬件核心,该芯片采用Flash ROM,内部具有4KB ROM 存储空间,而且与MCS-51系列单片机完全兼容,但是需要通过下载板来下载,比较不方便。

数字电子技术课程设计报告

数字电子技术课程设计报告

数字电子课程设计报告册抢答器与数字时钟班级:小组成员:2010/01/13一、设计目的1.掌握各类计数器及将它们相连的方法;2.掌握多个数码管动态显示的原理与方法;3.掌握用FPGA技术的层次化设计方法;4.进一步掌握用VHDL硬件描述语言的设计思想;5.了解有关数字系统的设计。

6.提高电路排版以及焊接能力二、设计要求1、三路抢答器1)三组参赛者在进行抢答时,当抢先者按下面前的按钮时,抢答器能准确判断出抢先者,并以光为标志。

2)抢答器应具有互锁功能,某组抢答后能自动封锁其他各组进行抢答。

3)系统应该有一个总复位开关。

2、24小时制的数字钟程序1)24小时计数显示,时、分、秒用六个数码管显示;2)具有校时功能(时,分);3)附加闹钟功能。

三、实验设备及其技术指标1、三路抢答器1)使用的器件主要有74LS00、发光二级管、74LS20、按键式开关、电阻。

2)三组参赛者在进行抢答时,当抢先者按下面前的按钮时,抢答器能准确判断出抢先者,并以光为标志。

抢答器应具有互锁功能,某组抢答后能自动封锁其他各组进行抢答。

13)系统应该有一个总复位开关2、24小时制的数字钟程序开发环境MAX—PLUSII,ZY11EDA13BE 试验系统,VHDL 语言.四、实验原理以及原理图1、三路抢答器2、24小时制的数字钟1)设计原理数字钟的主体是计数器,它记录并显示接收到的秒脉冲个数,其中秒和分为模60计数器,小时是模24计数器,分别产生3位BCD码。

BCD码经译码,驱动后接数码管显示电路。

2秒模60计数器的进位作为分模60计数器的时钟,分模60计数器的进位作为模24计数器的时钟。

为了实现手动调整时间,在外部增加了setm(调整分),seth(调整时)按键,当这两个按键为低电平时,电路正常计时,当为高电平时,分别调整分,时。

2)设计原理图3)设计程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity szz isport (clk,clk1,md1:in std_logic;3md2:in std_logic_vector(1 downto 0);speak:out std_logic;dout: out std_logic_vector(6 downto 0);selout:out std_logic_vector(2 downto 0)); end szz;architecture one of szz issignal sel: std_logic_vector(2 downto 0);signal hou1: std_logic_vector(3 downto 0);signal hou2: std_logic_vector(3 downto 0);signal min1: std_logic_vector(3 downto 0);signal min2: std_logic_vector(3 downto 0);signal sec1: std_logic_vector(3 downto 0);signal sec2: std_logic_vector(3 downto 0);signal seth1: std_logic_vector(3 downto 0);signal seth2: std_logic_vector(3 downto 0);signal setm1: std_logic_vector(3 downto 0);signal setm2: std_logic_vector(3 downto 0);signal data:std_logic_vector(23 downto 0);beginchoice:process(clk1)4beginif clk1'event and clk1='1' thenif sel ="101" then sel<="000";else sel<=sel+1;end if ;end if;end process choice;-----------------------------------------------小时十位h110:process(clk,hou2,min1,min2,sec1,sec2,md1,md2)beginif clk'event and clk='1' thenif (hou1="0010" and hou2="0011")and(min1="0101" and min2="1001") and (sec1="0101" and sec2="1001") thenhou1<="0000";elsif hou1="0010"and hou2="0011"and md1='0' and md2="01" then--当时间为23点且处于校时状态时hou1<="0000";elsif (hou2="1001"and(min1="0101" and min2="1001")and (sec1="0101" and sec2="1001"))or (hou2="1001"and md1='0' and md2="01")then5hou1<=hou1+1;end if;end if;end process h110;-----------------------------------------------小时个位h220:process(clk,min1,min2,sec1,sec2,md1,md2,hou1)beginif clk'event and clk='1' thenif (hou1="0010" and hou2="0011")and(min1="0101" and min2="1001") and (sec1="0101" and sec2="1001") thenhou2<="0000";elsif hou2="1001"and(min1="0101" and min2="1001")and (sec1="0101" and sec2="1001") thenhou2<="0000";elsif (hou2="1001"and md1='0' and md2="01")or (hou1="0010"and hou2="0011") thenhou2<="0000";--md<='1';elsif ((min1="0101" and min2="1001") and (sec1="0101" and sec2="1001")) or (md1='0' and md2="01") thenhou2<=hou2+1;--speak<=clk;6end if;end if;end process h220;-----------------------------------------------分钟十位m110:process(clk,min2,sec1,sec2,md1,md2)beginif clk'event and clk='1' thenif (min1="0101" and min2="1001") and (sec1="0101" and sec2="1001") then min1<="0000";elsif min1="0101"and min2="1001"and (md1='0' and md2="00")thenmin1<="0000";elsif (min2="1001"and (sec1="0101" and sec2="1001"))or (min2="1001"and md1='0' and md2="00")thenmin1<=min1+1;end if;end if;--end if;end process m110;----------------------------------------------分钟个位m220:process(clk,sec1,sec2,md1,md2)begin7if clk'event and clk='1' thenif min2="1001"and (sec1="0101" and sec2="1001")thenmin2<="0000";elsif min2="1001"and (md1='0' and md2="00")thenmin2<="0000";else if (sec1="0101" and sec2="1001") or(md1='0' and md2="00")then min2<=min2+1;end if;end if;end if;end process m220;---------------------------------------------秒十位s110:process(clk,sec2)beginif clk'event and clk='1' thenif (sec1="0101" and sec2="1001")thensec1<="0000";else if sec2="1001"thensec1<=sec1+1;end if;end if;end if;8end process s110;--------------------------------------------秒个位s220:process(clk)beginif clk'event and clk='1' thenif sec2="1001" thensec2<="0000";else sec2<=sec2+1;end if;end if;end process s220;---------------------------------时间设置小时sethour1:process(clk,seth1,seth2)beginif clk'event and clk='1' thenif seth1="0010"and seth2="0011" thenseth1<="0000";elsif seth2="1001" thenseth1<=seth1+1;end if;end if;9end process sethour1;------------------------------------------sethour2:process(clk,md1,md2,seth1)beginif clk'event and clk='1' thenif (seth1="0010"and seth2="0011")or seth2="1001"thenseth2<="0000";elsif md1='1' and md2="00" thenseth2<=seth2+1;end if;end if;end process sethour2;-------------------------------------------时间设置分钟部分setmin1:process(clk,setm2)beginif clk'event and clk='1' thenif setm1="0101"and setm2="1001"thensetm1<="0000";elsif setm2="1001"thensetm1<=setm1+1;10end if;end if;end process setmin1;----------------------------------------------setmin2:process(clk,md1,md2)beginif clk'event and clk='1'thenif setm2="1001"thensetm2<="0000";elsif md1='1' and md2="01"thensetm2<=setm2+1;end if;end if;end process setmin2;----------------------------------------------------------------------------------------闹铃speaker:process(clk,hou1,hou2,min1,min2)beginif clk'event and clk='1'thenif seth1=hou1 and seth2=hou2 and setm1=min1 and setm2=min2 then11speak<=clk;else speak<='0';end if;end if;end process speaker;-------------------------------------------disp:process(md1,hou1,hou2,min1,min2,sec1,sec2,seth1,seth2,setm1,se tm2,data,sel)beginif sel="101" thenselout <="101";case data(23 downto 20) iswhen "0000"=>dout<="1111110";when "0001"=>dout<="0110000";when "0010"=>dout<="1101101";when others=>dout<="1111110";end case;elsif sel ="100" thenselout<="100";case data(19 downto 16) iswhen "0000"=>dout<="1111110";12when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when "0110"=>dout<="1011111"; when "0111"=>dout<="1110000"; when "1000"=>dout<="1111111"; when "1001"=>dout<="1111011"; when others=>dout<="1111110"; end case;elsif sel="011" thenselout<="011";case data(15 downto 12) is when "0000"=>dout<="1111110"; when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011";13end case;elsif sel ="010" thenselout<="010";case data(11 downto 8) iswhen "0000"=>dout<="1111110"; when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when "0110"=>dout<="1011111"; when "0111"=>dout<="1110000"; when "1000"=>dout<="1111111"; when "1001"=>dout<="1111011"; when others=>dout<="1111110"; end case;elsif sel ="001" thenselout<="001";case data(7 downto 4) is14when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when others=>dout<="1111110"; end case;elsif sel="000" thenselout <="000";case data(3 downto 0) iswhen "0000"=>dout<="1111110"; when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when "0110"=>dout<="1011111"; when "0111"=>dout<="1110000"; when "1000"=>dout<="1111111";15when others=>dout<="1111110";end case;else dout<="1111110";selout<="111";end if;if md1='0'then---------------计时时间显示和设置模data(23 downto 20)<=hou1;data(19 downto 16)<=hou2;data(15 downto 12)<=min1;data(11 downto 8)<=min2;data(7 downto 4)<=sec1;data(3 downto 0)<=sec2;else -----------闹铃时间现实和设置模式data(23 downto 20)<=seth1;data(19 downto 16)<=seth2;data(15 downto 12)<=setm1;data(11 downto 8)<=setm2;data(7 downto 4)<="1111";data(3 downto 0)<="1111";end if;end process disp;end one;五、心得体会经过了一段时间的努力我终于完成了三路抢答器的制作以及24小时制数字钟的设计,无论是从分析电路原理图,还是从方案的选择、再到设计与实现,每个过程中我们学习到了很多在课本上不能学习到的知16识,对一个产品也有了一个新的认识,以前大家都很普遍、都很简单的认为一个产品很容易就做出来了,现在我们都知道了每一个产品都需要。

数字电子技术课程设计报告

数字电子技术课程设计报告

电子技术课程设计报告系(部):专业:班级:姓名:学号:成绩:指导老师:开课时间:学年学期一、设计题目数字式竞赛抢答器;数字钟;交通信号灯控制器;篮球比赛24秒倒计时器(按自己的课题写)二、主要内容1、分析设计题目的具体要求2、完成课题所要求的各个子功能的实现3、用multisim软件完成题目的整体设计三、具体要求(按自己的课题写)(一)、交通灯信号控制器仿真设计设计要求(1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45s。

时间可设置修改。

(2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。

(3)黄灯亮时,要求每秒闪亮一次。

(4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。

(5)假定+5V电源给定。

(二)、四路智力抢答器仿真设计设计要求(1)在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答器,每组设定一个抢答按钮供参赛者使用。

(2)设置一个系统清零和抢答控制开关K(该开关由主持人控制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。

(3)抢答器具有一个抢答信号的鉴别、锁存及显示功能。

即有抢答信号输入(参赛者的开关中任意一个开关被按下)时,锁存相应的编号,并在LED数码管上显示出来,同时扬声器发生声响。

此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。

(三)、篮球比赛24秒倒计时器的设计设计要求(1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。

(2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。

(3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。

(4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即直流振荡器发出声响和发光二极管发光。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字电子技术设计报告电子版
吉林建筑大学
电气与电子信息工程学院
数字电子技术课程设计报告
设计题目:多功能数字时钟的电路设计专业班级:自动化141
学生姓名:王天
学号: 16666
指导教师:韦大川
设计时间: .06.20- .07.01
多功能数字钟的电路设计报告
一、设计任务及要求
1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

2.具有校时功能,可分别对“时”、“分”进行单独校时。

3.能用硬件成功实现以上各功能。

二、设计的作用、目的
1. 掌握数字钟的设计、组装与调试方法。

2. 熟悉集成电路的使用方法。

三、设计过程
1.方案设计与论证
(1)总体电路分析
总体电路设计是将单元电路模块小时计时电路、分钟计时电路、秒计时电路、校时选择电路、整点译码电路、闹钟电路等模块连接在一起,外接输入开关和输出显示数码管构成。

总体结构图如下:
(2)仿真分析
单击运行按钮,可观测仿真结果。

电路能完成显示计时、校时、整点报时以及闹铃等功能。

①计时功能。

当开关S1、S2都处于左边触点时,数字时钟工作于计时状态。

此时,电路中的秒计时电路、分计时电路以及小时计时电路分别对秒脉冲、分脉冲和小时脉冲进行计数。

计数结果经数码管显示计时时间值。

②校时功能。

当开关S1、S2都处于右边触点时,数字时钟工作于校时状态。

按瞬态按钮B键,能够选择对“小时”、“分钟”和“秒钟”进行校时。

校时时经过开关S3(按C键)手动输入校时时间。

③整点报时功能。

整点译码电路经过识别整点时间,产生整点报时信号。

当前时间为零点时,会产生整点报时,此时探针会亮,蜂鸣器会响。

④闹钟报时功能。

经过校时功能将“小时”、“分钟”和“秒钟”设定在某一时间点,然后重新校时,调整到设定点以前的某一时间,当时钟到达设定点时,信号灯会亮,而且蜂鸣器会响。

(3)仿真说明
①因版面有限,总设计图并未纳入本设计报告中,而是在此之外经过PROTELL画图,用A4纸另外打印。

这样看图较为清晰。

②采用总线方式,使信号线连线简介、美观,电路可持续性强。

2. 电路设计计算与分析
(1)小时计时电路。

小时计时电路如下图:
该电路用两片74LS160构成二十四进制计数器,与非门
74LS00D构成译码电路,该译码电路能识别代码“24”,输出信号使~CLR=0,计数器的计数值被置0.因此,整个计数器的技术状态图为00至01至02至…至23至24(暂态)至00至01至…,共有24个稳定状态。

小时计时电路的封装模块如下图:。

相关文档
最新文档