二选一数据选择器报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA实验报告

组合电路设计

一、实验目的

1、熟悉quartusⅡ的VHDL文本设计全过程,

2、学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容

1、实验内容2:将此多路选择器看成一个元件mux21a,利用元件例化语句描述成三选一,然后进行编译、综合、仿真。

2、实验内容3:引脚锁定以及硬件下载测试。选实验电路模式5,用键1(PIO0)控制s0;用键2(PIO1)控制s1;a

3、a2和a1分别接clock5、clock0和clock2;输出信号outy仍接spker,通过短路帽选择clock0接256Hz信号,clock5接1024Hz信号,aclock2接8 Hz信号。最后进行编译、下载和硬件测试实验。

三、实验器材

PC机一台、Quartus II软件、EDA实验箱一台、下载电缆一根(已接好)。四、实验程序

实验内容2:三选一

library ieee;

use ieee.std_logic_1164.all;

entity muxk is

port(a1,a2,a3: in std_logic;

s0,s1: in std_logic;

outy: out std_logic);

end entity muxk;

architecture bhv of muxk is

component mux21a

port( a,b: in std_logic;

s: in std_logic;

y: out std_logic);

end component;

signal tmp: std_logic;

begin

u1: mux21a port map(a=>a2,b=>a3,s=>s0,y=>tmp);

u2: mux21a port map(a=>a1,b=>tmp,s=>s1,y=>outy);

end architecture bhv;

五、实验步骤

实验二:在实验一的基础上,新建VHDL文件,命名为muxk。在VHDL编辑窗口中输入实验程序后,进行编译、综合、仿真。

实验三:

之后进行一遍编译后下载到板子上。

六、仿真波形分析

七、硬件测试结果

当s1s0=00和01时,扬声器声音微弱且沉闷;当s1s0=01时,扬声器声调高;当s1s0=11时,扬声器声调很高。

八、实验体会

相关文档
最新文档