电子技术课程设计任务书《交通灯控制器的设计》

合集下载

电子技术课程设计 交通灯控制器

电子技术课程设计 交通灯控制器

电子技术课程设计――交通灯控制器学院:专业、班级:姓名:学号:指导教师:目录一.设计任务与要求―――――――――――――――(3) 二.总体框图――――――――――――――――――(4) 三.选择器件――――――――――――――――――(5) 四.功能模块――――――――――――――――――(9) 五.总体设计电路――――――――――――――――(12) 六.心得体会――――――――――――――――――(13)交通灯控制器在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。

当然我们每个人都不希望这样。

我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。

我们设计了这个交通灯信号控制系统通过本课程设计,使我们加强对电子技术课程内容的理解和掌握,学会使用数字电子技术设计和制做自动控制装置的方法,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。

一.设计任务与要求1.设计任务与要求设计一个十字路口的交通信号灯控制器,控制A、B两条交叉道上的车辆通行,具体要求如下:(1)每条道路设一组信号灯控制器,每组信号灯由红、黄、绿3个灯组成,绿灯表示允许通行,黄灯表示该车道上已过停车线的车辆继续通行,未过停车线的车辆停止通行。

(2)每条道路上每次通行的时间为25s。

(3)每次变换通行车道之前,要求黄灯先亮5 s,才能变换通行车道。

2.设计目的(1)了解并掌握电路的一般设计方法,具备初步的独立设计能力。

(2)进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则。

(3)提高综合运用所学的理论知识。

独立分析和解决问题的能力。

(4)进一步熟悉电子仪器的正确使用方法。

(5)初步掌握对电子线路安装与调试使用方法。

二.总体框图有一个A车道和B车道的交叉路口如图1所示。

交通灯控制器的课程设计

交通灯控制器的课程设计

课程设计课题:交通灯控制器的设计一、设计目的:学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。

通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。

在现代化的大城市中, 十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。

二、设计任务:1.满足如下时序要求:南北方向红灯亮时,东西方向绿灯亮,反之亦然。

2.每一方向的红(绿)黄灯共维持30秒。

3.当某一方向绿灯亮时,置显示器为30秒,然后以每秒减1计数方式工作,直至减到数为3秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒,减到为0,红绿灯交换,一次工作循环结束,进入下一步另一方向的工作循环。

4.红绿黄灯均采用发光二极管。

5.设计由晶振电路产生1Hz标准秒信号的单元电路。

6.要求对整体电路进行仿真,观察并记录下仿真波形。

三、设计原理:交通灯有四个状态:G1 Y1 R1 G2 Y2 R2S1. 亮灭灭灭灭亮S2. 灭闪灭灭灭亮S3. 灭灭亮亮灭灭S4. 灭灭亮灭闪灭然后重复状态S1.●分频器分频器实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。

该分频器将时钟信号分频成1HZ和4HZ的时钟信号。

●控制器控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数码管的分位译码电路。

此外,当检测到为夜间模式时,手动控制点亮黄灯的二极管。

●计数器这里需要的计数器的计数范围为30-0。

计到0后,下一个时钟沿回复到30,开始下一轮计数。

此外,当检测到夜间模式时,计数器暂停计数,而系统复位信号使计数器异步清零。

四、电路设计1、分频单元电路设计2、30减计数单元电路设计3、红黄绿灯控制单元电路设计4、译码显示单元电路设计●设计流程五、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jiaotong isport(clk,clr:in std_logic;clk1,clk2,clk3:buffer std_logic; ---分频信号pout:out std_logic_vector(6 downto 1);---东西南北的红绿黄灯状态表示C1:out std_logic_vector(3 downto 0);---BCD码高四位表示C0:out std_logic_vector(3 downto 0));---BCD码低四位表示end jiaotong;architecture one of jiaotong issignal g1,y1,r1,g2,y2,r2:STD_LOGIC;---1表示东西方向,2表示南北方向,g,y,r分别表示绿灯,黄灯,红灯signal div:integer range 0 to 20000000; ---分频1signal divn:integer range 0 to 4000000; ---分频2,用于控制黄灯闪烁type st is(s1,s2,s3,s4);---分别表示红绿黄灯的四种组合状态signal state:st;beginC:process(clk)beginif clk'event and clk='1' then---对20HZ进行分频,1HZif(div<19999999)thendiv<=div+1;clk1<='0';else div<=0; clk1<='1';end if;if(divn<2499999)then ---用于黄灯闪烁divn<=divn+1;clk2<='0';else divn<=0; clk2<='1';end if;end if;end process;process (clk1) isvariable t:integer :=31; ---初始赋值beginif clr='1' then ---夜间时为黄灯闪烁,且为手动控制pout(6)<='0'; pout(5)<=clk2 and '1'; pout(4)<='0';pout(3)<='0'; pout(2)<=clk2 and '1'; pout(1)<='0';else if(clk1'event and clk1='1') thent:=t-1;case state is ---四种组合状态转换when s1 =>G1<='1'; Y1<='0'; R1<='0'; G2<='0';Y2<='0';R2<='1'; if(t=3) then state<=s2;else state<=s1;end if;when s2 =>G1<='0';Y1<='1';R1<='0';G2<='0';Y2<='0';R2<='1';if(t=0) then state<=s3;t:=30;else state<=s2;end if;when s3 =>G1<='0';Y1<='0';R1<='1';G2<='1';Y2<='0'; R2<='0';if(t=3) then state<=s4;else state<=s3;end if;when s4 =>G1<='0';Y1<='0';R1<='1';G2<='0';Y2<='1';R2<='0';if(t=0) then state<=s1;t:=30;else state<=s4;end if;when others =>NULL;end case;case t is ---十进制数与BCD码一一对应赋值,输出时便于七段显示译码器显示when 0 => C1<="0000";C0<="0000";when 1 => C1<="0000";C0<="0001";when 2 => C1<="0000";C0<="0010";when 3 => C1<="0000";C0<="0011";when 4 => C1<="0000";C0<="0100";when 5 => C1<="0000";C0<="0101";when 6 => C1<="0000";C0<="0110";when 7 => C1<="0000";C0<="0111";when 8 => C1<="0000";C0<="1000";when 9 => C1<="0000";C0<="1001";when 10=> C1<="0001";C0<="0000";when 11=> C1<="0001";C0<="0001";when 12=> C1<="0001";C0<="0010";when 13=> C1<="0001";C0<="0011";when 14=> C1<="0001";C0<="0100";when 15=> C1<="0001";C0<="0101";when 16 =>C1<="0001";C0<="0110";when 17 =>C1<="0001";C0<="0111";when 18 =>C1<="0001";C0<="1000";when 19 =>C1<="0001";C0<="1001";when 20 =>C1<="0010";C0<="0000";when 21 =>C1<="0010";C0<="0001";when 22 =>C1<="0010";C0<="0010";when 23 =>C1<="0010";C0<="0011";when 24 =>C1<="0010";C0<="0100";when 25 =>C1<="0010";C0<="0101";when 26 =>C1<="0010";C0<="0110";when 27 =>C1<="0010";C0<="0111";when 28 =>C1<="0010";C0<="1000";when 29 =>C1<="0010";C0<="1001";when 30 =>C1<="0011";C0<="0000";when others =>NULL;end case;end if;pout(6)<=G1; pout(5)<=clk2 and Y1;pout(4)<=R1; ---东西南北六盏灯对应pout(3)<=G2; pout(2)<=clk2 and Y2;pout(1)<=R2; end if;end process ;end one;六、测试方法与测试结果1、测试仪器:QUARTUSⅡ2、测试方法:FPGA下载验证与仿真验证3、测试结果:满足设计要求以20HZ为基准仿真:仿真结果:C1:显示30减计数的个位C0:显示30减计数的十位POUT(6):东西方向绿灯控制端POUT(5):东西方向黄灯控制端POUT(4):东西方向红灯控制端POUT(3):南北方向绿灯控制端POUT(2):南北方向黄灯控制端POUT(1):南北方向红灯控制端白天●夜间黄灯闪烁(手动控制)●设计满足了1.30秒倒数显示2.两个方向灯的交替3.黄灯在最后3秒闪烁七、讨论该电路基本上满足了设计要求,电路简单,实现容易,节省器件。

课程设计---交通信号灯控制器

课程设计---交通信号灯控制器

课程设计课程名称数字电子技术基础课题名称交通信号灯控制器专业应用物理班级学号课程设计任务书课程名称:数字电子技术题目:交通信号灯控制器专业班级:应用物理0801学生姓名:学号:指导老师:审批:任务书下达日期2011年6月06日星期一设计完成日期2011年6月17日星期五目录一、总体设计 (1)1.基本原理与设计思路 (1)2.总电路图 (3)二、单元电路分析 (4)1.用74LS160计数器构成5、21进制计数器 (4)2.D型锁存器构成控制电路 (6)三、故障分析与电路改进 (8)四、调试体会与总结 (9)五、附录 (10)1.元件器件清单 (10)2.课程设计成绩评分表 (11)一、总体设计1.基本原理与设计思路图1 交通控制灯电路设计& 如图1所示为交通控制电路设计方案图,根据概述中的设计思想及方法来实现下图(图2)的交通指示灯状态转换图中描述的指示灯的转换及每种状态维持的时间(用数码显示管来显示)。

南北向(主干道)绿灯亮时,东西向(支干道)红灯亮。

此时南北向上的车辆允许通行,东西向禁止通行。

绿灯亮足规定时间TL后,控制器发出状态转换信号ST,转到下一工作状态。

& 南北向(主干道)黄灯亮时,东西向(支干道)红灯亮。

此时东西向上的车辆禁止通行,南北向上已过停车线的车辆允许通行,未过停车线的车辆禁止通行。

黄灯亮足规定时间TY后,控制器发出状态转换信号ST ,转到下一工作状态。

& 南北向(主干道)红灯亮时,东西向(支干道)绿灯亮。

支干道上的车辆允许通行;绿灯亮足规定时间TL 后,控制器发出状态转换信号ST ,转到下一工作状态。

&南北向(主干道)红灯亮时,东西向(支干道)黄灯亮。

此时主干道上的车辆禁止通行,此时支干道上已过停车线的车辆允许通行,未过停车线的车辆禁止通行。

黄灯亮足规定时间TY 后,控制器发出状态转换信号ST ,转到第一种工作状态。

图2 交通指示灯状态转换图2.总电路图二、单元电路与分析1.用74LS160计数器构成5、21进制计数器图74LS160构成的5、21进制计数器计数器选用74LS160进行设计。

广东工业大学电子技术综合设计与实践课程设计-交通灯控制器

广东工业大学电子技术综合设计与实践课程设计-交通灯控制器
2 模块及其原理介绍........................................................................................................................................................ 2 2.1 分频器模块...............................................................................................................................................................2 2.2 主控制器模块...........................................................................................................................................................2 2.3 计数器模块...............................................................................................................................................................3 2.4 数码管显示模块........................................................................................................................................................4 2.5 交通灯显示模块........................................................................................................................................................5 2.6 复位功能模块............................................................................................................................................................6 2.7 特殊功能模块...........................................................................................................................................................7

课程设计任务书

课程设计任务书

电子技术课程设计任务书项目1交通灯控制设计一、设计目的根据常用的电子技术知识,以及可获得技术书籍与电子文档,初步形成电子设计过程中收集、阅读及应用技术资料的能力;熟悉电子系统设计的一般流程;掌握分析电路原理、工程计算及对主要技术性能进行测试的常见方法;使学生学会使用电路仿真分析软件(Multisim)在计算机上进行电路设计与分析的方法。

要求学生所选课题必须在计算机上通过虚拟设计确定设计方案,通过虚拟仿真建立系统,完成设计要求。

二、任务与要求设计一个十字路口控制交通秩序的交通灯,满足以下条件:显示顺序为其中一组方向是绿、黄、红;另一方向是红、绿、黄。

设臵一组数码管以倒计时的方式显示语序通行或禁止通行时间,其中支通道绿灯的时间是20s,另一个方向上主通道的绿灯亮的时间是30s,黄灯亮的时间都是5s.选做:当任何一个方向出现特殊情况,按下手动开关,其中一个方向通行,倒计时停止,当特殊情况结束后,按下自动控制开关恢复正常状态。

三、课程设计报告要求1、任务说明2、目录3、正文(1)总体方案框图设计(2)单元电路具体设计(3)计算器件参数值(4)选择相关元器件(5)画出总体设计电路图(6)利用Multisim软件调试,对调试过程中出现的问题给出定性的的分析,最终能实现预计的效果。

4、课程设计的收获及体会5、参考文献四、评分标准五、任务安排六、所需调试工具Multisim软件。

项目2用移位寄存器实现彩灯控制一、设计目的根据常用的电子技术知识,以及可获得技术书籍与电子文档,初步形成电子设计过程中收集、阅读及应用技术资料的能力;熟悉电子系统设计的一般流程;掌握分析电路原理、工程计算及对主要技术性能进行测试的常见方法;使学生学会使用电路仿真分析软件(Multisim)在计算机上进行电路设计与分析的方法。

要求学生所选课题必须在计算机上通过虚拟设计确定设计方案,通过虚拟仿真建立系统,完成设计要求。

二、任务与要求采用移位寄存器设计一个彩灯循环控制器,要求有两种变化花样。

电子工艺实习任务书——交通灯设计

电子工艺实习任务书——交通灯设计
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等):
交通控制器设计
基本要求:
(1)在十字路口的两个方向上各设一组红灯、绿灯、黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、黄灯、绿灯。
(2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别为20s、5s、25s。
7月1日~7月8日进行设计题目的仿真设计和收音机的焊接和调试
7月9日~7月11日进行电路的仿真和调试
7月12日~7月13日答辩或成绩考核
7、成绩组成及考核标准:
系主任审查意见:
签字:
年月日
课程设计任务书
学年第学期
学院:
专业:
电气工程及其自动化
学生姓名:
学号:
课程设计题目:
电子工艺实习——交通灯控制器的设计
起迄日期:
月日~月日
课程设计地点:
指导教师:
系主任:
下达任务书日期:年月日
课程设知识有感性认识,加深电类有关课程的理论知识;;掌握电子元件的焊接、电气元件的安装、连线等基本技能,培养学生阅读电气原理图和电子线路图的能力。并在生产实践中,激发学生动手、动脑、勇于创新的积极性,培养学生严谨、认真、踏实、勤奋的学习精神和工作作风,为后续专业课程的学习打下坚实的基础。
2、完成收音机的焊接和调试;
3、完成设计说明书(即设计报告)的书写。
课程设计任务书
4.主要参考文献:
1、韩学军.数字电子技术基础[M].北京:中国电力出版社
2、王义军.模拟电子技术基础[M].北京:中国电力出版社
3、童诗白.模拟电子技术基础[M].北京:高等教育出版社.
4、阎石.数字电子技术基础[M].北京:高等教育出版社.

电子技术课程设计交通灯

电子技术课程设计交通灯

湖南文理学院课程设计汇报课程名称:电子技术课程设计教学院部:专业班级:学生姓名:指导教师:完毕时间:汇报成绩:目录一、引言 (3)二、设计题目 (3)三、设计任务与规定 (3)四、方案选择与论证 (3)五、单元电路设计 (4)1、脉冲发生器2、定期器3、控制器4、译码器5、交通信号灯六、总电路图及其原理阐明 (9)七、仿真过程与效果分析 (11)八、试验仪器设备及元器件清单 (12)九、心得体会与总结 (13)十、参照文献 (13)一、引言:数字电路技术基础是高等学校弱点类各专业旳一门重要旳技术基础课程。

这门课程发展迅速、实用性和应用性强,侧重于逻辑行为旳认知和验证。

伴随社会经济旳发展,都市交通问题越来越引起人们旳关注。

人、车、路三者关系旳协调,已经成为交通管理部门亟待需要处理旳问题之一。

都市交通控制系统是用于都市交通数据监测、交通信号灯控制与交通疏导旳计算机综合管理系统,它是现代化都市交通监测指挥系统中最重要旳构成部分。

同步也伴随都市机动车量旳不停增长,许多大都市如北京、上海、南京、长沙等大都市都出现了交通超负荷运行旳状况。

因此,自80年代后期这些都市纷纷修建都市高速公路,在高速公路建成完毕旳初期,它们也曾有效地改善了交通状况。

然而,伴随交通量旳迅速增长和缺乏对高速道路旳系统研究和控制,高速道路没有充足发挥预期旳作用。

而都市高速道路在构造上旳特点,也决定了都市高速道路旳交通道路必然受高速与一般道路耦合处交通住哪个科旳制约。

因此,怎样采用合适旳控制措施,最大程度运用好花费巨款修建高速道路,缓和主干道与匝道、城区同周围地区旳交通拥堵状况,越来越成为交通运送管理和都市规划部门亟待处理旳问题。

为此,本次设计完毕旳就是交通灯设计。

如下就是城镇交通灯控制系统旳电路原理图、设计计算和试验调试等问题来详细分析讨论。

二、设计题目:交通灯控制器设计三、设计任务与规定:设计一种十字路口旳交通信号灯控制器,控制A、B两条交叉道路上旳车辆通行,详细规定如下:(1)每条道路设一组信号灯,每组信号灯有红、绿、黄三个灯构成,绿灯表达容许通行,红灯表达严禁通行,黄灯表达该车道上已过停车线旳车辆继续通行,未过停车线旳车辆停止通行。

交通灯控制器课程设计

交通灯控制器课程设计
二号 楷体
目录
1 设计任务及要求 2 总体设计方案 3 控制电路设计
3.1 控制电路工作原理 3.2控制电路设计过程
4 倒计时电路设计
4.1具有同步置数功能的十进制减法计数器设计 4.2主干道和支干道倒计时电路设计
5 译码显示电路设计
5.1动态显示工作原理 5.2动态显示及译码电路设计
共阴极数码管:将每个数码管的公共端(阴极)分别接三-八译码器的输出, 三-八译码器的输入为位选信号;将多个数码管的相同段接在一起,作为段码 输入端。
七段译码
报告要求
CONTENTS
01 封面
02 目录:四号 宋体
03
正文:小四 宋体 1.5 倍行距
04 参考文献 :五号 宋体
一号 宋体
四号 宋体
由具有同步置数功能的十进制减法计数器实现。
将2片级联实现2位十进制减法计数器。
当主干道或者支干道减法计数器值为01时,产生同步置数信 号,将下一状态计数初值置入。
状态
S0
00
S1
01
S2
10
S3
11
主干道预置数
D7D6 D5D4
D3D2 D1D0
0000
0101
0101
0000
0000
0101
0110
○ 黄灯每秒闪亮一次。
总体方案
时钟
红绿 灯
控制器
交通灯控 制器的功 能框图
倒计时 计数器
数码 显示扫描

、译码
设主干道绿灯、黄灯、红灯分别为G1、Y1、R1;支 干道绿灯、黄灯、红灯分别为G2、Y2、R2,并且 均用0表示灭,1表示亮,则交通灯有如下四种输出 状态:
状态
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
2
12. 31~元月. 2
硬件系统详细设计,画出详细设计电路图。
3
元月. 3
设计仿真电路进行仿真并调试。
4
元月. 4
撰写课程设计报告
5
元月.5
交设计资料。
主指导教师
余晓峰
日期:
2013年12月26日
湖南理工学院
电子技术课程设计任务书
设计题目:交通灯控制器设计
院部:机械学院
专业:机械电子工程
学生姓名:学号:
起迄日期:2013年12月30日201年1月5日
********
教研室主任:
电子技术课程设计任务书
1.课程设计的内容和要求(包括原始数据、技术要求、工作要求等):
设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯。红灯亮禁止通行,绿灯亮允许通行,黄灯亮则停止行驶(给行驶中的车辆有时间停在禁行线以外)。具体要求如下:
一、功能要求:
1用红、绿主干道每次放行45秒,支干道每次放行25秒。
3在每次由绿灯亮转换到红灯亮的过程中,要亮5秒钟的黄灯作为过渡。
二、提示:
①分模块对各部分电路进行设计和测试,然后整机联调,通过PROTEUS软件,观察动态运行结果。
②参考元器件:74HC160/190,74HC161,74HC00,74HC08,74HC20,74HC153,74HC138/139,CD4511,CD4060/NE555等。
3.主要参考文献:
[1]高吉祥主编:《电子技术基础实验与课程设计》,电子工业出版社[M]2002年出版。
[2]彭介华主编:《电子技术课程设计指导》,高等教育出版社[M]2002 年出版。
[3]Proteus 入门教程
4.课程设计工作进度计划:
序号
起迄日期
工作内容
1
12.30
进行系统功能分析,查阅相关资料,提出系统初步设计方案。
电子技术课程设计任务书
2.对课程设计成果的要求〔包括图表、实物等硬件要求〕:
1、设计硬件系统:说明各部分的工作原理,进行相关元器件的参数选择和计算,用PROTEUS画出系统硬件图。(要求提出两个以上的设计方案进行比较论证)
2、设计仿真调试电路,用PROTEUS进行动态交互式仿真调试,记录调试结果。
3、撰写系统使用说明书。
相关文档
最新文档