#半导体术语

#半导体术语
#半导体术语

半导体术语

离?子注?入机 ion implanter

LSS理理论 Lindhand Scharff and Schiott theory,?又称“林林汉德-斯卡夫-斯?高特理理论”。沟道效应 channeling effect

射程分布 range distribution

深度分布 depth distribution

投影射程 projected range

阻?止距离 stopping distance

阻?止本领 stopping power

标准阻?止截?面 standard stopping cross section

退?火 annealing

激活能 activation energy

等温退?火 isothermal annealing

激光退?火 laser annealing

应?力力感?生缺陷 stress-induced defect

择优取向 preferred orientation

制版?工艺 mask-making technology

图形畸变 pattern distortion

初缩 ?rst mini?cation

精缩 ?nal mini?cation

?母版 master mask

铬版 chromium plate

?干版 dry plate

乳胶版 emulsion plate

透明版 see-through plate

?高分辨率版 high resolution plate, HRP

超微粒?干版 plate for ultra-microminiaturization

掩模 mask

掩模对准 mask alignment

对准精度 alignment precision

光刻胶 photoresist,?又称“光致抗蚀剂”。

负性光刻胶 negative photoresist

正性光刻胶 positive photoresist

?无机光刻胶 inorganic resist

多层光刻胶 multilevel resist

电?子束光刻胶 electron beam resist

X射线光刻胶 X-ray resist

刷洗 scrubbing

甩胶 spinning

涂胶 photoresist coating

后烘 postbaking

光刻 photolithography

X射线光刻 X-ray lithography

电?子束光刻 electron beam lithography

离?子束光刻 ion beam lithography

深紫外光刻 deep-UV lithography

光刻机 mask aligner

投影光刻机 projection mask aligner

曝光 exposure

接触式曝光法 contact exposure method

接近式曝光法 proximity exposure method

光学投影曝光法 optical projection exposure method 电?子束曝光系统 electron beam exposure system

分步重复系统 step-and-repeat system

显影 development

线宽 linewidth

去胶 stripping of photoresist

氧化去胶 removing of photoresist by oxidation

等离?子[体]去胶 removing of photoresist by plasma 刻蚀 etching

?干法刻蚀 dry etching

反应离?子刻蚀 reactive ion etching, RIE

各向同性刻蚀 isotropic etching

各向异性刻蚀 anisotropic etching

反应溅射刻蚀 reactive sputter etching

离?子铣 ion beam milling,?又称“离?子磨削”。

等离?子[体]刻蚀 plasma etching

钻蚀 undercutting

剥离技术 lift-off technology,?又称“浮脱?工艺”。

终点监测 endpoint monitoring

?金金属化 metallization

互连 interconnection

多层?金金属化 multilevel metallization

电迁徙 electromigration

回流 re?ow

磷硅玻璃 phosphorosilicate glass

硼磷硅玻璃 boron-phosphorosilicate glass

钝化?工艺 passivation technology

多层介质钝化 multilayer dielectric passivation

划?片 scribing

电?子束切?片 electron beam slicing

烧结 sintering

印压 indentation

热压焊 thermocompression bonding

热超声焊 thermosonic bonding

冷焊 cold welding

点焊 spot welding

球焊 ball bonding

楔焊 wedge bonding

内引线焊接 inner lead bonding

外引线焊接 outer lead bonding

梁梁式引线 beam lead

装架?工艺 mounting technology

附着 adhesion

封装 packaging

?金金属封装 metallic packaging

陶瓷封装 ceramic packaging

扁平封装 ?at packaging

塑封 plastic package

玻璃封装 glass packaging

微封装 micropackaging,?又称“微组装”。

管壳 package

管芯 die

引线键合 lead bonding

引线框式键合 lead frame bonding

带式?自动键合 tape automated bonding, TAB 激光键合 laser bonding

超声键合 ultrasonic bonding 红外键合 infrared bonding

微电?子辞典?大集合

(按?首字?母顺序排序)

A

Abrupt junction 突变结Accelerated testing 加速实验 Acceptor 受主

Acceptor atom 受主原?子 Accumulation 积累、堆积 Accumulating contact 积累接触 Accumulation region 积累区 Accumulation layer 积累层 Active region 有源区

Active component 有源元 Active device 有源器?件 Activation 激活

Activation energy 激活能 Active region 有源(放?大)区 Admittance 导纳

Allowed band 允带

Alloy-junction device

合?金金结器?件 Aluminum(Aluminium) 铝

Aluminum – oxide 铝氧化物

Aluminum passivation 铝钝化

Ambipolar 双极的

Ambient temperature 环境温度

Amorphous ?无定形的,?非晶体的

Ampli?er 功放扩?音器?放?大器?

Analogue(Analog) comparator 模拟?比较器? Angstrom 埃 Anneal 退?火

Anisotropic 各向异性的

Anode 阳极

Arsenic (AS) 砷

Auger 俄歇

Auger process 俄歇过程

Avalanche 雪崩

Avalanche breakdown 雪崩击穿

Avalanche excitation雪崩激发

B

Background carrier 本底载流?子

Background doping 本底掺杂

Backward 反向

Backward bias 反向偏置

Ballasting resistor 整流电阻

Ball bond 球形键合

Band 能带

Band gap 能带间隙

Barrier 势垒

Barrier layer 势垒层

Barrier width 势垒宽度

Base 基极

Base contact 基区接触

Base stretching 基区扩展效应

Base transit time 基区渡越时间

Base transport ef?ciency基区输运系数

Base-width modulation基区宽度调制

Basis vector 基?矢

Bias 偏置

Bilateral switch 双向开关

Binary code ?二进制代码

Binary compound semiconductor ?二元化合物半导体 Bipolar 双极性的

Bipolar Junction Transistor (BJT)双极晶体管 Bloch 布洛洛赫

Blocking band 阻挡能带

Blocking contact 阻挡接触

Body - centered 体?心?立?方

Body-centred cubic structure 体?立?心结构 Boltzmann 波尔兹曼

Bond 键、键合

Bonding electron 价电?子

Bonding pad 键合点

Bootstrap circuit ?自举电路路

Bootstrapped emitter follower ?自举射极跟随器?

Boron 硼

Borosilicate glass 硼硅玻璃 Boundary condition 边界条件 Bound electron 束缚电?子 Breadboard 模拟板、实验板 Break down 击穿

Break over 转折

Brillouin 布?里里渊

Brillouin zone 布?里里渊区

Built-in 内建的

Build-in electric ?eld 内建电场 Bulk 体/体内 Bulk absorption 体吸收 Bulk generation 体产?生

Bulk recombination 体复合

Burn - in ?老老化

Burn out 烧毁

Buried channel 埋沟

Buried diffusion region 隐埋扩散区 C

Can 外壳

Capacitance 电容

Capture cross section 俘获截?面 Capture carrier 俘获载流?子 Carrier 载流?子、载波

Carry bit 进位位

Carry-in bit 进位输?入

Carry-out bit 进位输出

Cascade 级联

Case 管壳

Cathode 阴极

Center 中?心

Ceramic 陶瓷(的)

Channel 沟道

Channel breakdown 沟道击穿

Channel current 沟道电流

Channel doping 沟道掺杂

Channel shortening 沟道缩短

Channel width 沟道宽度

Characteristic impedance 特征阻抗

Charge 电荷、充电

Charge-compensation effects 电荷补偿效应

Charge conservation 电荷守恒

Charge neutrality condition 电中性条件

Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储 Chemmical etching 化学腐蚀法

Chemically-Polish 化学抛光

Chemmically-Mechanically Polish (CMP) 化学机械抛光 Chip 芯?片

Chip yield 芯?片成品率

Clamped 箝位

Clamping diode 箝位?二极管

Cleavage plane 解理理?面

Clock rate 时钟频率

Clock generator 时钟发?生器?

Clock ?ip-?op 时钟触发器?

Close-packed structure 密堆积结构

Close-loop gain 闭环增益

Collector 集电极

Collision 碰撞

Compensated OP-AMP 补偿运放

Common-base/collector/emitter connection 共基极/集电极/发射极连接

Common-gate/drain/source connection 共栅/漏漏/源连接

Common-mode gain 共模增益

Common-mode input 共模输?入

Common-mode rejection ratio (CMRR) 共模抑制?比

Compatibility 兼容性

Compensation 补偿

Compensated impurities 补偿杂质

Compensated semiconductor 补偿半导体

Complementary Darlington circuit 互补达林林顿电路路

Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)

互补?金金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试 /制 造

Compound Semiconductor 化合物半导体

Conductance 电导

Conduction band (edge) 导带(底)

Conduction level/state 导带态

Conductor 导体

Conductivity 电导率

Con?guration 组态

Conlomb 库仑

Conpled Con?guration Devices 结构组态

Constants 物理理常数

Constant energy surface 等能?面

Constant-source diffusion恒定源扩散

Contact 接触

Contamination 治污

Continuity equation 连续性?方程

Contact hole 接触孔

Contact potential 接触电势

Continuity condition 连续性条件

Contra doping 反掺杂

Controlled 受控的

Converter 转换器?

Conveyer 传输器?

Copper interconnection system 铜互连系统

Couping 耦合

Covalent 共阶的

Crossover 跨交

Critical 临界的

Crossunder 穿交

Crucible坩埚

Crystal defect/face/orientation/lattice 晶体缺陷/晶?面/晶向/晶 格

Current density 电流密度

Curvature 曲率

Cut off 截?止

Current drift/dirve/sharing 电流漂移/驱动/共享 Current Sense 电流取样

Curvature 弯曲

Custom integrated circuit 定制集成电路路

Cylindrical 柱?面的

Czochralshicrystal 直?立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J) D

Dangling bonds 悬挂键

Dark current 暗电流

Dead time 空载时间

Debye length 德拜?长度

De.broglie 德布洛洛意

Decderate 减速

Decibel (dB) 分?贝

Decode 译码

Deep acceptor level 深受主能级

Deep donor level 深施主能级

Deep impurity level 深度杂质能级

Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 简并半导体 Degeneracy 简并度

Degradation 退化

Degree Celsius(centigrade) /Kelvin 摄?氏/开?氏温度

Delay 延迟 Density 密度

Density of states 态密度

Depletion 耗尽

Depletion approximation 耗尽近似

Depletion contact 耗尽接触

Depletion depth 耗尽深度

Depletion effect 耗尽效应

Depletion layer 耗尽层

Depletion MOS 耗尽MOS

Depletion region 耗尽区

Deposited ?lm 淀积薄膜

Deposition process 淀积?工艺

Design rules 设计规则

Die 芯?片(复数dice)

Diode ?二极管

Dielectric 介电的

Dielectric isolation 介质隔离

Difference-mode input 差模输?入

Differential ampli?er 差分放?大器?

Differential capacitance 微分电容

Diffused junction 扩散结

Diffusion 扩散

Diffusion coef?cient 扩散系数

Diffusion constant 扩散常数

Diffusivity 扩散率

Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉 Digital circuit 数字电路路

Dipole domain 偶极畴

Dipole layer 偶极层

Direct-coupling 直接耦合

Direct-gap semiconductor 直接带隙半导体 Direct transition 直接跃迁

Discharge 放电

Discrete component 分?立元件 Dissipation 耗散

Distribution 分布

Distributed capacitance 分布电容 Distributed model 分布模型 Displacement 位移 Dislocation 位错 Domain 畴 Donor 施主

Donor exhaustion 施主耗尽

Dopant 掺杂剂

Doped semiconductor 掺杂半导体

Doping concentration 掺杂浓度

Double-diffusive MOS(DMOS)双扩散MOS. Drift 漂移 Drift ?eld 漂移电场

Drift mobility 迁移率

Dry etching ?干法腐蚀

Dry/wet oxidation ?干/湿法氧化

Dose 剂量量

Duty cycle ?工作周期

Dual-in-line package (DIP)双列列直插式封装 Dynamics 动态

Dynamic characteristics 动态属性

Dynamic impedance 动态阻抗

E

Early effect 厄利利效应

Early failure 早期失效

Effective mass 有效质量量

Einstein relation(ship) 爱因斯坦关系

Electric Erase Programmable Read Only Memory(E2PROM) ?一次性电可擦除只读存储器? Electrode 电极

Electrominggratim 电迁移

Electron af?nity 电?子亲和势

Electronic -grade 电?子能

Electron-beam photo-resist exposure 光致抗蚀剂的电?子束曝光

Electron gas 电?子?气

Electron-grade water 电?子级纯?水

Electron trapping center 电?子俘获中?心

Electron Volt (eV) 电?子伏

Electrostatic 静电的

Element 元素/元件/配件

Elemental semiconductor 元素半导体

Ellipse 椭圆

Ellipsoid 椭球

Emitter 发射极

Emitter-coupled logic 发射极耦合逻辑

Emitter-coupled pair 发射极耦合对

Emitter follower 射随器?

Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应 Endurance test =life test 寿命测试

Energy state 能态

Energy momentum diagram 能量量-动量量(E-K)图 Enhancement mode 增强型模式

Enhancement MOS 增强性

MOS Ente?c (低)共溶的

Environmental test 环境测试

Epitaxial 外延的

Epitaxial layer 外延层

Epitaxial slice 外延?片

Expitaxy 外延

Equivalent curcuit 等效电路路

Equilibrium majority /minority carriers 平衡多数/少数载流?子 Erasable Programmable ROM (EPROM)可搽取(编程)存储器? Error function complement 余误差函数

Etch 刻蚀

Etchant 刻蚀剂

Etching mask 抗蚀剂掩模

Excess carrier 过剩载流?子

Excitation energy 激发能

Excited state 激发态

Exciton 激?子

Extrapolation 外推法

Extrinsic ?非本征的

Extrinsic semiconductor 杂质半导体

F

Face - centered ?面?心?立?方

Fall time 下降时间

Fan-in 扇?入

Fan-out 扇出

Fast recovery 快恢复

Fast surface states 快界?面态

Feedback 反馈

Fermi level 费?米能级

Fermi-Dirac Distribution 费?米-狄拉克分布 Femi potential 费?米势

Fick equation 菲克?方程(扩散)

Field effect transistor 场效应晶体管

Field oxide 场氧化层

Filled band 满带

Film 薄膜

Flash memory 闪烁存储器?

Flat band 平带

Flat pack 扁平封装

Flicker noise 闪烁(变)噪声

Flip-?op toggle 触发器?翻转

Floating gate 浮栅

Fluoride etch 氟化氢刻蚀

Forbidden band 禁带

Forward bias 正向偏置

Forward blocking /conducting正向阻断/导通

Frequency deviation noise频率漂移噪声 Frequency response 频率响应 Function 函数

G

Gain 增益 Gallium-Arsenide(GaAs) 砷化钾 Gamy ray r 射线

Gate ?门、栅、控制极

Gate oxide 栅氧化层

Gauss(ian)?高斯

Gaussian distribution pro?le ?高斯掺杂分布Generation-recombination 产?生-复合 Geometries ?几何尺?寸

Germanium(Ge) 锗

Graded 缓变的

Graded (gradual) channel 缓变沟道 Graded junction 缓变结

Grain 晶粒

Gradient 梯度

Grown junction ?生?长结

Guard ring 保护环

Gummel-Poom model 葛谋-潘模型 Gunn - effect 狄?氏效应

H

Hardened device 辐射加固器?件

Heat of formation 形成热

Heat sink 散热器?、热沉

Heavy/light hole band 重/轻空?穴带

Heavy saturation 重掺杂

Hell - effect 霍尔效应

Heterojunction 异质结

Heterojunction structure 异质结结构

Heterojunction Bipolar Transistor(HBT)异质结双极型晶体 High ?eld property ?高场特性

High-performance MOS.( H-MOS)?高性能

MOS. Hormalized 归?一化

Horizontal epitaxial reactor 卧式外延反应器?

Hot carrior 热载流?子

Hybrid integration 混合集成

I

Image - force 镜象?力力

Impact ionization 碰撞电离

Impedance 阻抗

Imperfect structure 不不完整结构

Implantation dose 注?入剂量量

Implanted ion 注?入离?子

Impurity 杂质

Impurity scattering 杂志散射

Incremental resistance 电阻增量量(微分电阻)

In-contact mask 接触式掩模

Indium tin oxide (ITO) 铟锡氧化物

Induced channel 感应沟道

Infrared 红外的

Injection 注?入

Input offset voltage 输?入失调电压 Insulator 绝缘体

Insulated Gate FET(IGFET)绝缘栅

FET Integrated injection logic集成注?入逻辑 Integration 集成、积分 Interconnection 互连 Interconnection time delay 互连延时 Interdigitated structure 交互式结构 Interface 界?面

Interference ?干涉

International system of unions国际单位制 Internally scattering ?谷间散射 Interpolation 内插法

Intrinsic 本征的

Intrinsic semiconductor 本征半导体 Inverse operation 反向?工作

Inversion 反型

Inverter 倒相器?

Ion 离?子

Ion beam 离?子束

Ion etching 离?子刻蚀

Ion implantation 离?子注?入

Ionization 电离

Ionization energy 电离能

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

半导体行业专业词汇

半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

半导体专业术语英语..

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

半导体名词解释

1. 何谓PIE? PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义? 答:8吋硅片(wafer)直径为 200mm , 直径为 300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺?未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达0.13um工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加2.25倍,芯片数目约增加2.5倍 5. 所谓的0.13 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到0.13 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从0.35um->0.25um->0.18um->0.15um->0.13um 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从0.35um -> 0.25um -> 0.18um ->

0.15um -> 0.13um 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般0.15um 的逻辑产品为1P6M( 1层的Poly和6层的metal)。而 光罩层数(mask layer)代表硅片的制造必需经过几次的PHOTO(光刻). 10. Wafer下线的第一道步骤是形成start oxide 和zero layer? 其中start oxide 的目的是为何? 答:①不希望有机成分的光刻胶直接碰触Si 表面。 ②在laser刻号过程中,亦可避免被产生的粉尘污染。 11. 为何需要zero layer? 答:芯片的工艺由许多不同层次堆栈而成的, 各层次之间以zero layer当做对准的基准。 12. Laser mark是什幺用途? Wafer ID 又代表什幺意义? 答:Laser mark 是用来刻wafer ID, Wafer ID 就如同硅片的身份证一样,一个ID代表一片硅片的身份。 13. 一般硅片的制造(wafer process)过程包含哪些主要部分? 答:①前段(frontend)-元器件(device)的制造过程。 ②后段(backend)-金属导线的连接及护层(passivation) 14. 前段(frontend)的工艺大致可区分为那些部份? 答:①STI的形成(定义AA区域及器件间的隔离)

半导体一些术语的中英文对照

离子注入机 ion implanter LSS理论 Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫-斯高特理论”。 沟道效应 channeling effect 射程分布 range distribution 深度分布 depth distribution 投影射程 projected range 阻止距离 stopping distance 阻止本领 stopping power 标准阻止截面 standard stopping cross section 退火 annealing 激活能 activation energy 等温退火 isothermal annealing 激光退火 laser annealing 应力感生缺陷 stress-induced defect 择优取向 preferred orientation

制版工艺 mask-making technology 图形畸变 pattern distortion 初缩 first minification 精缩 final minification 母版 master mask 铬版 chromium plate 干版 dry plate 乳胶版 emulsion plate 透明版 see-through plate 高分辨率版 high resolution plate, HRP 超微粒干版 plate for ultra-microminiaturization 掩模 mask 掩模对准 mask alignment 对准精度 alignment precision 光刻胶 photoresist 又称“光致抗蚀剂”。 负性光刻胶 negative photoresist

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

半导体术语

Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件Aluminum(Aluminium) 铝Aluminum –oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度

Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器 Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程 Avalanche 雪崩 Avalanche breakdown 雪崩击穿 Avalanche excitation雪崩激发 B Background carrier 本底载流子 Background doping 本底掺杂 Backward 反向 Backward bias 反向偏置 Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙 Barrier 势垒

半导体行业专业术语

半导体行业专业术语.txt都是一个山的狐狸,你跟我讲什么聊斋,站在离你最近的地方,眺望你对别人的微笑,即使心是百般的疼痛只为把你的一举一动尽收眼底.刺眼的白色,让我明白什么是纯粹的伤害。悬赏太少了吧~嘎嘎不过尽管如此还是分享下俺的资料(有19800个字,这里发不下,如果还需要就给我小消息~~~):) 移动通讯词汇(中英) A 安全地线 safe ground wire 安全特性 security feature 安装线 hook-up wire 按半周进行的多周期控制 multicycle controlled by half-cycle 按键电话机 push-button telephone set 按需分配多地址 demand assignment multiple access(DAMA) 按要求的电信业务 demand telecommunication service 按组编码 encode by group B 八木天线 Yagi antenna 白噪声 white Gaussian noise 白噪声发生器 white noise generator 半波偶极子 halfwave dipole 半导体存储器 semiconductor memory 半导体集成电路 semiconductor integrated circuit 半双工操作 semi-duplex operation 半字节 Nib 包络负反馈 peak envelop negative feed-back 包络延时失真 envelop delay distortion 薄膜 thin film 薄膜混合集成电路 thin film hybrid integrated circuit 保护比(射频) protection ratio (RF) 保护时段 guard period 保密通信 secure communication 报头 header 报文分组 packet 报文优先等级 message priority 报讯 alarm 备用工作方式 spare mode 背景躁声 background noise 倍频 frequency multiplication 倍频程 actave 倍频程滤波器 octave filter 被呼地址修改通知 called address modified notification

半导体词汇汇总

半导体词汇 半导体词汇 1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。 39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。 40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬

半导体专业术语英语讲解学习

半导体专业术语英语

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷

22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。

半导体用语

半导体用语

————————————————————————————————作者: ————————————————————————————————日期: ?

Siliconingot 硅锭 Wafer晶片 Mirror wafer 镜面晶圆 Patter晶圆片 FAB:fabrication 制造 Fabrication Facility 制造wafer生产工厂 Probe test探针测试 Probe card探针板 Contact连接 ProbeTip 探头端部 Chip Function 功能 EPM:Electrical Parameter Monitoring Summary总结 R&D:Researchand Development研究和开发MCP:Multi Chip Package 多芯片封装 POP:Package on Package e-MMC:embedded Multi Media card 嵌入式多媒体卡WLP:Wafer Level Package 晶圆级封装 SDP 一层 DDP 两层 QDP 四层

ODP八层 Pad out BackGrind背研磨 WaferGrindBack Grind 磨片 Overview 概述 TPM:Total Profit Management SKTPM Operation 操作 Erase 消除 Key Para.:Keyparameter 关键参数 Cycling 写入次数、循环次数 Retention 保留时间 Non-V olatile memory Volatile memory Read读 Write写 Refresh 更新 Speed速度、速率、转速 Restore 修复、恢复 Electrical Signal 电信号 WFBI:WaferBurn-In PT1H:Probe Test1HotTest PT1C:Probe Test 1 ColdTest

半导体名词解释

1.何谓PIE PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2.200mm,300mm Wafer 代表何意义? 答:8寸硅片(wafer)直径为 200mm , 直径为 300mm硅片即12寸. 3.目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4.我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加倍,芯片数目约增加倍 5. 所谓的 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6.从>>>> 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从 -> -> -> -> 代表着每一个阶段工艺能力的提升。 7.一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9.一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般的逻辑产品为1P6M( 1层的Poly和6层的metal)。而

半导体物理--专业术语英汉对照-复习版

1 acceptor 受主 2 allowed energy band允带 3 binary semiconductor 二元半导体 4 charge neutrality condition 电中性条件 5 compensated semiconductor 补偿半导体 6 conduction band and valence band 导带和价带 7 effective mass 有效质量 8 density of states function状态密度函数 9 diamond structure金刚石结构 10 diffusion coefficient扩散系数 11 donor施主 12 drift velocity 漂移速度 13 electron and hole电子和空穴 14 elemental semiconductor 元素半导体 15 equilibrium carrier concentration热平衡载流子浓度 16 expitaxy外延 17 extrinsic semiconductor非本征半导体 18 Fermi energy (or level)费米能级 19 Forbidden energy band禁带 20 indirect bandbap semiconductor非直接带隙半导体 21 intrinsic semiconductor本征半导体 22 majority carrier多数载流子 23 MBE分子束外延 24 Miller indices密勒指数 25 minority carrier少数载流子 26 mobility迁移率 27 MOCVD金属有机气相沉积 28 nondegenerate semiconductor非简并半导体 29 n-type material n型材料 30 Pauli exclusion principle 泡利不相容原理 31 phonon声子 32 photon光子 33 primitive cell原胞 34 quantum state量子态 35 quaternary semiconductor四元半导体 36 scattering散射 37 substrate衬底 38 thermal motion热运动 39 unit cell单胞 40 wave-particle duality波粒二相性 41 continuity equations连续性方程 42 diffusion length扩散长度 43 diffusion coefficient扩散系数 44 Einstein relationship爱因斯坦关系 45 p-n junction p-n结 46 built-in voltage 内建电势差 47 carrier lifetime 载流子寿命 48 space charge region 空间电荷区 49 depletion width 耗尽宽度 50 saturation drift velocity 饱和迁移速度

电气的专业术语 英文

电气的专业术语英文(一) 11. interfere with 有害于。。。 12. indicating needle仪表指针 13. hazardous 危险的 14. pivot 支点 15. terminal 端子 16. spiral 螺旋形的 17. spring 弹簧 18. shunt 分流,分路,并联,旁路 19. rectifier 整流器 20. electrodynamometer 电测力计 21. strive for 争取 22. vane 机器的叶,叶片 23. strip 条,带,(跨接)片 24. crude 不精细的,粗略的 25. polarity 极性 26. fuse 保险丝,熔丝 27. rugged 坚固的 28. depict 描绘,描写 29. cartridge 盒式保险丝 30. blow (保险丝)烧断

31. plug fuse 插头式保险丝 32. malfunction 故障 33. deenergize 不给… 通电 34. insulation 绝缘 35. generator 发电机 36. magneto 磁发电机 37. humidity 湿度 38. moisture 潮湿湿气 39. abbreviate 缩写,缩写为 40. transformer 变压器 41. thumb 检查,查阅 42. milliammeter 毫安表 43. multimeter 万用表 44. dynamometer 测力计,功率计 45. aluminum 铝 46. deteriorate 使….恶化 47. eddy current 涡流 48. gear 齿轮,传动装置 49. dial 刻度盘 50. semiconductor 半导体 51. squirrel 鼠笼式

半导体英文词汇

1 Active Area 主动区(工作区)主动晶体管(ACTIVE TRANSISTOR)被制造的区域即所谓的主动区(ACTIVE AREA)。在标准之MOS制造过程中ACTIVE AREA是由一层氮化硅光罩即等接氮化硅蚀刻之后的局部场区氧化所形成的,而由于利用到局部场氧化之步骤,所以ACTIVE AREA会受到鸟嘴(BIRD’S BEAK)之影响而比原先之氮化硅光罩所定义的区域来的小,以长0.6UM之场区氧化而言,大概会有0.5UM之BIRD’S BEAK存在,也就是说ACTIVE AREA比原在之氮化硅光罩所定义的区域小0.5UM。 2 ACTONE 丙酮 1. 丙酮是有机溶剂的一种,分子式为CH3COCH3。2. 性质为无色,具刺激性及薄荷臭味之液体。3. 在FAB内之用途,主要在于黄光室内正光阻之清洗、擦拭。4. 对神经中枢具中度麻醉性,对皮肤黏膜具轻微毒性,长期接触会引起皮肤炎,吸入过量之丙酮蒸汽会刺激鼻、眼结膜及咽喉黏膜,甚至引起头痛、恶心、呕吐、目眩、意识不明等。5. 允许浓度1000PPM。 3 ADI 显影后检查1.定义:After Developing Inspection 之缩写2.目的:检查黄光室制程;光阻覆盖→对准→曝光→显影。发现缺点后,如覆盖不良、显影不良…等即予修改,以维护产品良率、品质。3.方法:利用目检、显微镜为之。 4 AEI 蚀刻后检查 1. 定义:AEI即After Etching Inspection,在蚀刻制程光阻去除前及光阻去除后,分别对产品实施全检或抽样检查。2.目的:2-1提高产品良率,避免不良品外流。2-2达到品质的一致性和制程之重复性。2-3显示制程能力之指针2-4阻止异常扩大,节省成本3.通常AEI检查出来之不良品,非必要时很少作修改,因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加,生产成本增高,以及良率降低之缺点。 5 AIR SHOWER 空气洗尘室进入洁净室之前,需穿无尘衣,因在外面更衣室之故,无尘衣上沾着尘埃,故进洁净室之前,需经空气喷洗机将尘埃吹掉。 6 ALIGNMENT 对准 1. 定义:利用芯片上的对准键,一般用十字键和光罩上的对准键合对为之。2. 目的:在IC的制造过程中,必须经过6~10次左右的对准、曝光来定义电路图案,对准就是要将层层图案精确地定义显像在芯片上面。3. 方法:A.人眼对准B.用光、电组合代替人眼,即机械式对准。 7 ALLOY/SINTER 熔合 Alloy之目的在使铝与硅基(Silicon Substrate)之接触有Ohmic特性,即电压与电流成线性关系。Alloy也可降低接触的阻值。 8 AL/SI 铝/硅靶此为金属溅镀时所使用的一种金属合金材料利用

半导体材料英文缩略语

[材料科学] 半导体材料英文缩略语 材料科学2008-03-24 16:18:46 阅读37 评论0 字号:大中小订阅 援引:MEMC Electronic Materials, Inc. A . -- Angstrom A-defects -- Dislocation loops in Silicon formed by agglomeration of interstitials AA -- Atomic absorption AE -- Acid Etch AFM -- Atomic Force Microscopy ALCVD -- Atomic Layer Chemical Vapor Deposition AMC -- Barrel or batch type Epi reactor (Applied Materials) APCVD -- Atmospheric-Pressure Chemical Vapor Deposition Furnace ASIC -- Application Specific Integrated Circuit ASM -- a single-chamber Epi reactor (ASM America) ASTM -- American Standard Test Method ASTM -- American Society for Testing and Materials B BESOI -- Bonded and Etch Back SOI BGSOI -- Bonded and Grind Back SOI BJT -- Bipolar Junction Transistor BMD -- Bulk Micro-Defects or Bulk Microdefect Density (used almost exclusively as a measure of the oxygen precipitate density) BOE -- Buffered Oxide Etch BOX -- Buried Oxide Layer

#半导体术语

半导体术语 离?子注?入机 ion implanter LSS理理论 Lindhand Scharff and Schiott theory,?又称“林林汉德-斯卡夫-斯?高特理理论”。沟道效应 channeling effect 射程分布 range distribution 深度分布 depth distribution 投影射程 projected range 阻?止距离 stopping distance 阻?止本领 stopping power 标准阻?止截?面 standard stopping cross section 退?火 annealing 激活能 activation energy 等温退?火 isothermal annealing 激光退?火 laser annealing 应?力力感?生缺陷 stress-induced defect 择优取向 preferred orientation 制版?工艺 mask-making technology 图形畸变 pattern distortion 初缩 ?rst mini?cation 精缩 ?nal mini?cation ?母版 master mask 铬版 chromium plate ?干版 dry plate 乳胶版 emulsion plate 透明版 see-through plate ?高分辨率版 high resolution plate, HRP

超微粒?干版 plate for ultra-microminiaturization 掩模 mask 掩模对准 mask alignment 对准精度 alignment precision 光刻胶 photoresist,?又称“光致抗蚀剂”。 负性光刻胶 negative photoresist 正性光刻胶 positive photoresist ?无机光刻胶 inorganic resist 多层光刻胶 multilevel resist 电?子束光刻胶 electron beam resist X射线光刻胶 X-ray resist 刷洗 scrubbing 甩胶 spinning 涂胶 photoresist coating 后烘 postbaking 光刻 photolithography X射线光刻 X-ray lithography 电?子束光刻 electron beam lithography 离?子束光刻 ion beam lithography 深紫外光刻 deep-UV lithography 光刻机 mask aligner 投影光刻机 projection mask aligner 曝光 exposure 接触式曝光法 contact exposure method 接近式曝光法 proximity exposure method 光学投影曝光法 optical projection exposure method 电?子束曝光系统 electron beam exposure system

相关文档
最新文档