eda期末考试试卷及答案

eda期末考试试卷及答案
eda期末考试试卷及答案

eda期末考试试卷及答案

(A卷)

D( WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范

赣南师范学院围

7(以下哪个程序包是数字系统设计中最重要最常用的程序包 B 2010—2011学年第一学期期末考试试卷(A卷)(闭卷) A(STD_LOGIC_ARITH 班级

B(STD_LOGIC_1164 学号年级 2008 专业电子科学与技术 (本)课程名称 EDA技术基础 C(STD_LOGIC_UNSIGNED 姓名 D(STD_LOGIC_SIGNED 题号一二三四五总分密 8(基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入? A ?

得分封线内综合?适配?时序仿真?编程下载?硬件测试。

A(功能仿真 B(逻辑综合 C(配置 D(引脚锁定不得答阅卷人 9(不完整的IF 语句,其综合结果可实现 D 题注意事项:1、教师出题时请勿超出边界虚线; A(三态控制电路 B(条件相或的逻辑电路 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; C(双向控制电路 D(时序逻辑电路 3、答题请用蓝、黑钢笔或圆珠笔。 10(下列语句中,属于并行语句的是 A 一、单项选择题(30分,每题2分) A(进程语句 B(IF语句 C(CASE语句 D(FOR语句 1(以下关于适配描述错误的是 B 11(综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表

A(适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。之产生最终的下载文件 A(综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本B(适配所选定的目标器件可以不属于原综合器指定的目标器件系列结构相映射的网表文件 C(适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 B(综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映D(通常,EDAL软件中

的综合器可由专业的第三方EDA公司提供,而适射过程,并且这种映射关系不是唯一的配器则需由FPGA/CPLD供应商提供 C(综合是纯软件的转换过程,与器件硬件结构无关 2(VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体

D(为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综与结构体两部分,结构体描述 D 。合约束 A(器件外部特性 B(器件的综合约束 12(CPLD

的可编程是主要基于什么结构 D 。 C(器件外部特性与内部功能 D(器件的内部功能 A(查找表(LUT) B(ROM可编程 3(下列标识符中, B 是不合法的标识符。

C(PAL可编程 D(与或阵列可编程 A(State0 B(9moon C(Not_Ack_0 D(signall

13(以下器件中属于Altera 公司生产的是 B 4(以下工具中属于FPGA/CPLD集成化开发工具的是 D A(ispLSI系列器件 B(MAX系列器件 A(ModelSim B(Synplify Pro C(XC9500系列器件 D(Virtex系列器件 C(MATLAB D(QuartusII 14. 在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D 5(进程中的变量赋值语句,其变量更新是 A 。 A(if clk'event and clk = '1' then B(if clk'stable and not

clk = '1' then A(立即完成 B(按顺序完成 C(if rising_edge(clk) then D(if not clk'stable and clk = '1' then C(在进程的最后完成 D(都不对 15(以下关于状态机的描述中正确的是 B 6(以下关于CASE语句描述中错误的是 A A(Moore

型状态机其输出是当前状态和所有输入的函数 A(CASE语句执行中可以不必选中所列条件名的一条 B(与Moore型状态机相比,Mealy型的输出变化要领先一个时钟

周期 B(除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则

C(Mealy型状态机其输出是当前状态的函数最末一个条件句的选择必须加上最后

一句“WHEN OTHERS=><顺序语D(以上都不对句>” C(CASE语句中的选择值只能出现一次

第1页(共6页)

(A卷)

二、EDA名词解释,写出下列缩写的中文含义(10分,每题2分) END bhv;

1(FPGA:现场可编程门阵列

四、程序改错题(仔细阅读下列程序后回答问题,12分) 2(HDL: 硬件描述语言

1 LIBRARY IEEE; 班级 3(LE: 逻辑单元

2 USE IEEE.STD_LOGIC_1164.ALL; 学号

3 ENTITY ga IS 4(FSM: 有限状态机姓名

4 PORT ( CLK : IN STD_LOGIC ;

5(SOPC: 可编程片上系统 5 Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)) ; 密

6 END gb; 封线内

7 ARCHITECTURE bhv OF ga IS 三、程序填空题(20分,每空2分) 不得答

8 SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); 以下是一个模为60(0~59)的8421BCD码加法计数器VHDL描述,请补充完题

9 BEGIN 整 10 PROCESS (CLK) LIBRARY IEEE; 11 BEGIN Use IEEE.std_logic_1164.all ; 12 IF RISING_EDGE(CLK) begin

13 IF Q1 < “1001” THEN ENTITY ta IS 14 Q1 <= Q1 + 1 ;

15 ELSE PORT ( CLK : IN STD_LOGIC ;

16 Q1 <= (OTHERS => '0'); SHI : OUT INTEGER RANGE 0 TO 9;

17 END IF; GE: OUT INTEGER RANGE 0 TO 9) ;

18 END IF; END ; 19 END PROCESS ; 20 Q <= Q1; ARCHITECTURE bhv OF ta IS 21 END bhv; SIGNAL SHI1,GE1 : INTEGER RANGE 0 TO 9 ;

程序编译时,提示的错误为: BEGIN

Error: Line 12: File e:\mywork\test\ga.vhd: VHDL syntax error: If statement must have PROCESS ( CLK )

BEGIN THEN, but found BEGIN instead

IF CLK’EVENT AND CLK=’1’ then Error: Line 14: File

e:\mywork\test\ga.vhd:Subprogram error:can’t interpret subprogram IF GE1 = 9 THEN call GE1 <= 0 ;

请回答问题:在程序中存在两处错误,试指出并修改正确(如果是缺少语句请

IF SHI1=5 THEN

指出应该插入的行号) SHI1<=0;

答: ELSE SHI1<=SHI+1 ;

END IF;

(1)12行 begin 改为 then ELSE

GE1<=GE1+1 ;

(2)第2行和第3行见加 USE IEEE.STD_LOGIC_UNSIGNED.ALL; END IF;

END IF ;

END PROCESS ;

GE <= GE1;

五、程序设计题(28分) SHI<=SHI1 ;

第2页(共6页)

(A卷)

TYPE FSM_ST IS(S0,S1,S2,S3); 1(试用VHDL描述一个外部特性如图所示的数据选择器,S为控制端口。(10Singnal C_ST:FSM_ST; 分) Begin Library IEEE; Process(clk,rst) 班级 Use IEEE.std_logic_1164.all; Begin 学号Entity sjxz IS IF rst=’1’ then C_ST<=S0; 姓名 Port(A,B,S:in std_logic; ELSIF clk’event AND clk=’1’then

密 Q:out std_logic); CASE C_ST IS

封线内END entity sjxz; When S0=>IF In1=’1’ then C_ST<=S1; Architecture bhv of sjxz IS ELSE C_ST<=S0; 不得答

Process(S) END IF; 题Begin Out1<=”0000”; IF S=’0’ Then Q<=A; When S1=>IF In1=’0’ then C_ST<=S2;

ELSE Q<=B; ELSE C_ST<=S1; END IF; END IF; END PROCESS’

Out1<=”1001”; END bhv;

When S2=>IF In1=’1’ then C_ST<=S3;

ELSE C_ST<=S2;

END IF;

2.下图为某一状态机对应的状态图,试用VHDL语言描述这一状态机。(18分) Out1<=”1100”;

When S3=>IF In1=’0’ then C_ST<=S0; 011S0S1 ELSE C_ST<=S3; 00001001 END IF;

Out1<=”1111”;

00END CASE;

END IF;

END process; 1S3S210END bhv; 11111100

Library IEEE;

Use IEEE.std_logic_1164.all;

Use IEEE.std_logic_unsigned.all;

Entity FSM1 IS

PORT(clk,rst:in std_logic;

In1:in std_logic; Out1:out std_logic_vector(3 downto 0));

END entity FSM1;

Architecture bhv of FSM1 IS

第3页(共6页)

(A卷)

妈妈若无其事地坐着,并不去扶他,只是微笑着说:“呵,好坏的爸爸~”父亲站在一边,以嘲弄的眼光望着上当受骗的小约翰。

这便是犹太家庭教子的方法之一,这样做的目的是灌输给孩子一个理念:班级社会是复杂的,不要轻信他人,唯一可依赖的就是自己。学号

姓名犹太家庭的孩子都要回答这样一个问题:“假如有一天房子被烧着了,你下面红色字体部分是赠送的散文欣赏摘自网络,密将带着什么东西逃跑,”如果孩子回答是钱财,母亲会进一步问:“有一种没有

封线内形状、没有颜色、没有气味的宝贝,你知道是什么吗,”如果孩子回答不出来,不需要的朋友下载后可以编辑删除~~~谢谢~~~母亲会告诉

他:“孩子,你要带走的不是钱财,而是智慧。因为智慧是任何人不得答都抢不走的,你只要活着,智慧就永远跟着你。” 题

你对爸爸的爱,远远胜过那部车

一个犹太家庭的父亲,存钱存了很久,终于买了一辆自己向往已久的新

车。新车开到家后,他珍爱有加,每天都要洗车打蜡。他5岁的儿子见父亲这么爱车,也常常乐此不疲地帮爸爸一起洗车。

有一天,这位父亲开车回到家后,累得一动也不想动。于是他决定破一次例,改天再洗车,尽管自己的爱车因淋了雨,而显得脏乱不堪。

这时,5岁的儿子见父亲这么累,就自告奋勇地要帮爸爸洗车,见他这么

小的年纪,就知道体谅自己,心里甚感欣慰,便放手让儿子去洗。

儿子要动手洗车了,却找不到洗车用的毛巾。于是他走进厨房,立刻便想到母亲平时煮菜洗锅时,都是用钢刷使劲刷才刷干净的,所以既然没有毛巾,

就用钢刷吧~他拿起钢刷用力地洗起车来,一遍又一遍,像刷锅一样地刷车。

等他洗完之后,听见“哇”的一声,他失声大哭起来,车子怎么都花了, 这下可闯大祸了,他急忙跑去找父亲,边哭边说:“爸爸,对不起,爸爸,你来看~”父亲疑惑地跟着儿子走到车旁,他也“哇”的一声,“我的车,我的车~”

这位父亲怒气冲冲地走进房间,气急败坏地跪在地上祷告:“上帝呀,请可依靠的唯有自己

你告诉我,我该怎么做,那是我新买的车,一个月不到,就变成这样,我该怎么处罚我的孩子,” 这是发生在一个普通犹太人家庭里,父亲和儿子的故事: 他才祷告完,耳边忽然出现一个声音“世人都是看表面,而我却是看内儿子叫约翰,在他4岁那年,有一天他和姐姐在客厅玩捉迷藏。他们玩得心~”突然间,他彻悟了。正高兴,父亲抱起小约翰,把他放在沙发椅上面,然后伸出双手做出接的姿势,

叫他往下跳。小约翰毫不犹豫地往下跳,在即将抓住父亲的瞬间,父亲缩回了他走出房门,儿子正害怕地流着泪,动也不敢动。双手,约翰摔到了地板上,他号啕大哭起来。小约翰向坐在沙发上的妈妈求助,

第4页(共6页)

(A卷)

父亲走上前去,把孩子紧紧地拥在怀里,亲切地说:“谢谢你帮爸爸洗车,说出来。他坐下来,打量这间小屋子,突然,墙上的几张照片让他吃惊地张大爸爸对你的爱,远远胜过对那部车子。” 嘴巴。竟然是他和妈妈的合影~他5岁时,10岁时,17岁时……墙角,一只

用旧布包着的包袱,包袱皮上,绣着一朵梅花。他转过头,呆呆地看着老人,

凡事要透过表面去看本质,当家人或朋友无意间做错了某件事时,我们问她是谁。老人怔怔地,突然脱口而出:儿啊。班级要理智对待,不要只看事情的表面,而忽略他们内心真实的想法。学会用爱心学号去包容爱心,家会让你感觉自己的周围,时时洋溢温暖的阳光。小饭馆他彻底惊呆了~眼前的老人,不是哑巴,为他送了两年菜的老人,是他姓名的母亲, 的生意很好,因为物美价廉,因为他的谦和和妻子的热情。每天早晨,三四点

密钟他就早早起来去采购,直到天亮才把所需要的蔬菜、鲜肉拉回家。没有

雇人

封线内那沙哑的声音分明如此熟悉,不是他母亲又能是谁,他呆愣愣地,突然手,两个人忙得像陀螺。常常,因为缺乏睡眠,他的眼睛红红的。不久,一上前,一把抱住母亲,号啕痛哭,母子俩的眼泪沾到了一起。不知哭了多

久,个推着三轮车的老人来到他门前。她驼背,走路一跛一跛的,用手比划着,想不得答

他先抬起头,哽咽着说看到了母亲的坟,以为她去世了,所以才离开家。母亲为他提供蔬菜和鲜肉,绝对新鲜,价格还便宜。老人是个哑巴,脸上满是灰尘,题擦擦眼泪,说是她让邻居这么做的。她做工的爆竹厂发生爆炸,她侥幸活下来,额角和眼边的几块疤痕让她看上去面目丑陋。妻子不同意,老人的样子,看上却毁了容,瘸了腿。看看自己的模样,想想儿子进过监狱,家里又穷,以后他去实在不舒服。可他却不顾妻子的反对,答应下来。不知怎的,眼前的老人让一定连媳妇都娶不上。为了不拖累他,她想出了这个主意,说自己去世,让他他突然想起了母亲。

远走他乡,在异地生根,娶妻生子。得知他离开了家乡,她回到村子。辗转打老人很讲信用,每次应他要求运来的蔬菜果然都是新鲜的。于是,每天听,才知道他来到了这个城市。她以捡破烂为生,寻找他四年,终于在这家小早晨六点

钟,满满一三轮车的菜准时送到他的饭馆门前。他偶尔也请老人吃碗饭馆里找到他。她欣喜若狂,看着儿子忙碌,她又感到心痛。为了每天见到儿面,老人吃得很慢,很享受的样子。他心里酸酸的,对老人说,她每天都可以子,帮他减轻负担,她开始替他买菜,一买就是两年。可现在,她的腿脚不利

索,下不了床了,所以,再不能为他送菜。在这儿吃碗面。老人笑了,一跛一跛地走过来。他看着她,不知怎的,又想起

了母亲,突然有一种想哭的冲动。

一晃,两年又过去了,他的饭馆成了酒楼,他也有了一笔数目可观的积

这种信任和理解真的很重要。蓄,买了房子。可为他送菜的,依旧是那个老人。

这个故事对于众多家长来说有很强的的启迪和警示作用:“你到底爱的是又过了半个月,突然有一天,他在门前等了很久,却一直等不到老人。

孩子,还是孩子努力的结果,如果是后者,那说明你不会爱~”亦或是“你到底时间已经过了一个小时,老人还没有来。他没有她的联系方式,无奈,只好让是爱自己的孩子,还是爱那个你心目中的孩子,如果是后者,那说明你不会工人去买菜。两小时后,工人拉回了菜,仔细看看,他心里有了疙瘩,这车菜爱~”,往往,在和孩子互动过程中,我们关注自己的感受,关注孩子是否改远远比不上老人送的莱。老人送来的菜全经过精心挑选,几乎没有干叶子,棵错,关注孩子是否优秀,而我们忽略了关注孩子本身,这些都是打着爱的旗号棵都清爽。

伤害着孩子,但我们往往认为这就是爱。请牢记,孩子本身最重要~

只是,从那天后,老人再未出现。

让孩子去开辟自己的天空

春节就要到了,他包着饺子,突然对妻子说想给老人送去一碗,顺便看

《一个犹太人的家庭教育》讲的是一个伟大的犹太母亲把三个孩子培养看她发生了什么事。怎么一个星期都没有送菜,这可是从没有过的事。妻子点成才的理念和方法。这位母亲生在上海,父亲是犹太人,在她12岁那年去世头。煮了饺子,他拎着,反复打听一个跛脚的送菜老人,终于在离他酒楼两了,随后母亲也离她而去,她成了孤儿。长大后在上海铜厂做女工,结婚后生个街道的胡同里,打听到她了。

下三个孩子,但不久后丈夫又离她而去了。为了逃避痛苦,她成为中以建交后第一批回到以色列的犹太后裔。他敲了半天门,无人应答。门虚掩着,他顺手推开。昏暗狭小的屋子里,

老人在床上躺着,骨瘦如柴。老人看到他,诧异地睁大眼,想坐起来,却无能为力。他把饺子放到床边,问老人是不是病了。老人张张嘴,想说什么,却没第5页(共6页)

(A卷)

为了生存,也为了三个孩子能早日回到以色列,她先发奋学习希伯来语,想要为孩子创造一个无忧无虑,快乐成长的天空,但却发现自己完完全然后,在路边摆了个小摊卖春卷。以色列的官方货币是谢克尔,一谢克尔兑换全的占据了创造者的位置,其实,这个位置也要有一部分让孩子承担。现在的人民币2块钱,更小的币值是雅戈洛,一谢克尔等于100雅戈洛。她的春卷小照顾,也许会暂时保护着他们,但是他们总有一天会长大,会在长大后遇到许摊每天只能赚到十来个谢克尔…… 许多多的困难,那个时候,我们是如何也帮不了他们的……也许,让孩子过早班级

的面对金钱面对名利面对社会,会有不舍和心疼,但他们总有一天要面对,总学号 1993年,她接回了三个孩子,大儿子14岁,二儿子13岁,小女儿11有一

天要承担。姓名岁。开始她一直秉承再苦不能苦孩子的原则,依旧做着合格的中国式妈妈。把

密孩子送去学校读书,她卖春卷,孩子放学,她就停止营业,在小炉子上面给他我们为何不像那位犹太母亲那样,放开手,让孩子自己去开辟属于他们自封线内己的天空呢, 们做馄饨或者面条。这一幕被邻居看到了,就来训斥大儿子:“你已经是大孩子了,你应该学会去帮助你的母亲,而不是看着你母亲忙碌,自己就像废物一不得答

样。”然后转过头训斥母亲:“不要把那种落后的中国式教育带到以色列来……” 题

大儿子和她都很难受,但他们都在慢慢地改变,大儿子不但学会了做春卷,还把春卷带到学校卖,每天,三个小孩子能赚到10个谢克尔,回家交给母亲。母亲觉得很心酸,让他们小小年纪就担起生活的担子,但犹太人不这么认为,在犹太家庭里,孩子们没有免费的食物和照顾,任何东西都是有价格的,每个孩子都必须学会赚钱,才能获得自己需要的一切。

于是妈妈不再提供免费的餐食和服务,同时也给他们赚钱的机会,以每个春卷30雅戈洛的价钱批发给他们,带到学校后,可自行加价出售,利润部分自由支配。

三个孩子卖春卷的方式竟然截然不同。小女儿最老实,按老价钱50雅戈洛一个零售;二儿子则以40雅戈洛的价钱批发给学校餐厅,每天让他送100个春卷;大儿子则举办了一个“带你走进中国”的讲座,讲座的噱头就在于可以免费品尝美味的中国春卷,但需要买入场券,每人10雅戈洛,结果收入1500雅戈洛。

随后他们琢磨出了更多更新颖的赚钱方法,他们很努力地去学习和思考,学业并没有受到任何影响。

同样作为父母,是不是应该引起我们的反思,我们每天一睁开眼睛就为了孩子忙活,做饭、洗衣服、接送、辅导作业,然后才是做自己的事情,每天忙的团团转,累得筋疲力尽。一发牢骚,孩子还会心生厌烦,根本不理解我的付出。再回头看看,每一位中国母亲不都是这样吗,这样我们就很伟大吗,我们付出了很多,却造就了一个又一个“小皇帝”、“小公主”……

我们希望孩子成才,却又过度的保护他们,使得孩子变得无能无法自立;过分的溺爱,带来孩子的无情;过多的干涉,让孩子多了很多无奈;过多的指责,让孩子变得不知所措,找不到前进的方向……

第6页(共6页)

EDA技术试验问答题答案(基本包含)

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系?FPGA/CPLD在ASIC设计中有什么用途? 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型?答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么?答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 1-6 叙述EDA的FPGA/CPLD设计流程。P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

EDA期末考试考卷及答案

(A卷) 赣南师范学院 2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级 2008 专业电子科学与技术(本)课程名称 EDA技术基础 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; 3、答题请用蓝、黑钢笔或圆珠笔。 一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能 3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MATLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程 C.PAL可编程D.与或阵列可编程 13.以下器件中属于Altera 公司生产的是 B A.ispLSI系列器件B.MAX系列器件 C.XC9500系列器件D.Virtex系列器件 14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D A.if clk'event and clk = '1' then B.if clk'stable and not clk = '1' then C.if rising_edge(clk) then D.if not clk'stable and clk = '1' then 15.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数

(完整版)武科大EDA试卷及答案

武科大EDA系统设计试卷及答案 一、单项选择题:(20分) 1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_____ D_____。 A .瘦IP B.固IP C.胖IP D.都不是 2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,____ D _____是错误的。 A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程; B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件; C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。 3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。 A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 4.进程中的信号赋值语句,其信号更新是___C____。 A.按顺序完成; B.比变量更快完成; C.在进程的最后完成; D.都不对。 5. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____B______。 A.器件外部特性; B.器件的内部功能; C.器件的综合约束; D.器件外部特性与内部功能。 6.不完整的IF语句,其综合结果可实现____ A ____。 A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路 D. 三态控制电路 7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_____ B____。 ①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法 A. ①③ ⑤ B. ②③④ C. ②⑤ ⑥ D. ①④⑥ 8.下列标识符中,_____B_____是不合法的标识符。 A. State0 B. 9moon C. Not_Ack_0 D.

EDA期末试卷及答案(2020年九月整理).doc

EDA期末试卷 一、填空题 1.一般把EDA技术的发展分为MOS时代、CMOS 代和ASIC 三个阶段。 2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。 3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。 4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。 6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。 7.以EDA方式设计实现的电路设计文件,最终可以编程下载 到FPGA 和CPLD 芯片中,完成硬件设计和验证。 8.MAX+PLUS的文本文件类型是(后缀名).VHD 。9.在PC上利用VHDL进行项目设计,不允许在根目 录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。 二、选择题:。 11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器 B.综合 器 C.适配器 D.下载器12.在执行MAX+PLUSⅡ的(D )命令,可以精确分析设计电路输入与输出波形间的延时量。 A .Create default symbol B. Simulator C. Compiler D. Timing Analyzer 13.VHDL常用的库是(A ) A. IEEE B.STD C. WORK D. PACKAGE 14.下面既是并行语句又是串行语句的是( C ) A.变量赋值 B.信号赋值 C.PROCESS语 句 D.WHEN…ELSE语句 15.在VHDL中,用语句(D )表示clock的下降沿。

EDA技术期末试卷含答案资料

精品文档 一、单项选择题(30分)9.嵌套使用IF语句,其综合结果可实现 A 。A.带优先级且条件相与的逻辑电路1.以下描述错误的是 C B.条 件相或的逻辑电路 C.三态控制电路是A.QuartusIIAltera提供的FPGA/CPLD集成开发环境D.双向控制电路 10.在VHDLAlteraB.是世界上最大的可编程逻辑器件供应商之一语言中,下列对时钟边沿检测描述中,错误的是 D 。 A.if clk'event and clk = ‘1' then B.if falling_edge(clk) then 前一代C.MAX+plusII是AlteraFPGA/CPLD集成开发环境QuartusII的更 C.if clk'event and clk = ‘0' then 新换代新产品D.if clk'stable and not clk = ‘1' then 11.下列那个流程是正确的基于.DQuartusII完全支持VHDL、Verilog的设计流程EDA软件的FPGA / CPLD设计流程 B A.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试开发工具中的专用综合器的是2.以下工具中属于FPGA/CPLD B B.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .Active HDL D.QuartusII Leonardo Spectrum .AModelSim B.C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;.3以下器件中属于Xilinx 公司生产的是 C /HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试 D.BMAX系列器件.原理图A.ispLSI系列器件 )语句的语句结构及语法规则语言中,下列对进程(PROCESS系列器件 C.XC9500系列器件D.FLEX 12.在VHDL 。A 的描述中,正确的是以下关于信号和变量的描述中错误的是4. B 为一无限循环语句;敏感信号发生更新时启动进程,执行完..信号是描述硬件系统的基本数据对象,它的性质类似于连接线PROCESSAA .信号的定义范围是结构体、进程B 成后,等待下一次进程启动C.除了没有方向说明以外,信号与实体的端口概念是一致的B.敏感信号参数表中,应列出进程中使用的所有输入信号CD.在进程中不能将变量列入敏感信号列表中.进程由说明部分、结构体部分、和敏感信号参数表三部分组成D.当前进程中声明的变量也可用于其他进程以下关于状态机的描述中正确的是5. B B MooreA.型状态机其输出是当前状态和所有输入的函数13.下列语句中,不属于并行语句的是 语句B.CASE 型的输出变化要领先一个时钟周期型状态机相比,.与BMooreMealy A.进程语句 …语句…ELSE D.WHEN .元件例化语句MealyC.型状态机其输出是当前状态的函数 C 设计现行工作VHDL语言共支持四种常用库,其中哪种库是用户的14.以上都不对D .VHDL B 下列标识符中,.库是不合法的标识符。6 END BPP0 A...D .CNot_Ack sig D

EDA技术期末试卷(含答案)

班级 学号 姓名 密 封 线 内 不 得 答 题 一、单项选择题(30分) 1.以下描述错误的是 C A .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境 B .Altera 是世界上最大的可编程逻辑器件供应商之一 C .MAX+plusII 是Altera 前一代FPGA/CPL D 集成开发环境QuartusII 的更新换代新产品 D .QuartusII 完全支持VHDL 、Verilog 的设计流程 2.以下工具中属于FPGA/CPLD 开发工具中的专用综合器的是 B A .ModelSim B .Leonardo Spectrum C .Active HDL D .QuartusII 3.以下器件中属于Xilinx 公司生产的是 C A .ispLSI 系列器件 B .MAX 系列器件 C .XC9500系列器件 D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 B A .信号是描述硬件系统的基本数据对象,它的性质类似于连接线 B .信号的定义范围是结构体、进程 C .除了没有方向说明以外,信号与实体的端口概念是一致的 D .在进程中不能将变量列入敏感信号列表中 5.以下关于状态机的描述中正确的是 B A .Moore 型状态机其输出是当前状态和所有输入的函数 B .与Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期 C .Mealy 型状态机其输出是当前状态的函数 D .以上都不对 6.下列标识符中, B 是不合法的标识符。 A .PP0 B .END C .Not_Ack D .sig 7.大规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与工作原理的描述中,正确的是 C 。 A .CPLD 即是现场可编程逻辑器件的英文简称 B .CPLD 是基于查找表结构的可编程逻辑器件 C .早期的CPL D 是从GAL 的结构扩展而来 D .在Altera 公司生产的器件中,FLEX10K 系列属CPLD 结构 8.综合是EDA 设计流程的关键步骤,在下面对综合的描述中, D 是错误的。 A .综合就是把抽象设计层次中的一种表示转化成另一种表示的过程 B .综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 C .为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 D .综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的) 9.嵌套使用IF 语句,其综合结果可实现 A 。 A .带优先级且条件相与的逻辑电路 B .条件相或的逻辑电路 C .三态控制电路 D .双向控制电路 10.在VHDL 语言中,下列对时钟边沿检测描述中,错误的是 D 。 A .if clk'event and clk = ‘1’ then B .if falling_edge(clk) then C .if clk’event and clk = ‘0’ then D .if clk’stable and not clk = ‘1’ then 11.下列那个流程是正确的基于EDA 软件的FPGA / CPLD 设计流程 B A .原理图/HDL 文本输入→适配→综合→功能仿真→编程下载→硬件测试 B .原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .原理图/HDL 文本输入→功能仿真→综合→编程下载→→适配硬件测试; D .原理图/HDL 文本输入→功能仿真→适配→编程下载→综合→硬件测试 12.在VHDL 语言中,下列对进程(PROCESS )语句的语句结构及语法规则的描述中,正确的是 A 。 A .PROCESS 为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 B .敏感信号参数表中,应列出进程中使用的所有输入信号 C .进程由说明部分、结构体部分、和敏感信号参数表三部分组成 D .当前进程中声明的变量也可用于其他进程 13.下列语句中,不属于并行语句的是 B A .进程语句 B .CAS E 语句 C .元件例化语句 D .WHEN …ELSE …语句 14.VHDL 语言共支持四种常用库,其中哪种库是用户的VHDL 设计现行工作 库 D A .IEEE 库 B .VITAL 库 C .STD 库 D .WORK 库 15.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A .器件外部特性 B .器件的综合约束 C .器件外部特性与内部功能 D .器件的内部功能 二、EDA 名词解释,写出下列缩写的中文含义(10分) 1.CPLD :复杂可编程逻辑器件 2.ASIC :专用集成电路 3.LUT :查找表 4.EDA :电子设计自动化 5.ROM :只读存储器 三、程序填空题(20分) 以下是一个模为24(0~23)的8421BCD 码加法计数器VHDL 描述,请补充完整

《EDA》试题B答案

2007 至2008学年度第二学期期末考核 《EDA》试题(开卷) 卷号:B 时间:120 分钟 2008 年6 月 专业:电子信息工程学号:姓名: 一填空题(20分) 1、VHDL 2、DEVICE.LIB SYMBOLS.LIB 3、实际零件焊接到电路板时所指示的外观和焊点的位置 4、电子设计自动化电子CAD技术 5、A L T E R A,X I L I N X 6、WAIT 7、电路连接 8、SRAM-BASE 9、2.54mm 300mil 10、元件外观和元件引线端子的图形 二名词解释(20分) 1 PLD/FPGA PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。 2.过孔 当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔 又称为贯孔、沉铜孔和金属化孔。 过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried) 3.铜膜线 就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不 同层面取不同的走向,例如顶层走水平线,则底层走垂直线。顶 层和底层走线之间的连接采用过孔(Via)连接。 4 PROM、PAL和PLA PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。但输入的数目太大时,器件功耗增加,其局限性大。 PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。 5 自顶向下的/自下而上的设计方法 自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。 第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合

(完整版)EDA期末考试题1

1.一个项目的输入输出端口是定义在( A )1-5 ACDCD 6-10 CCACA A. 实体中;. B. 结构体中; C. 任何位置; D. 进程中。 2. MAXPLUS2中编译VHDL源程序时要求( C ) A. 文件名和实体可以不同名; B. 文件名和实体名无关; C. 文件名和实体名要相同; D. 不确定。 3. VHDL语言中变量定义的位置是(D ) A. 实体中中任何位置; B. 实体中特定位置; C. 结构体中任何位置; D. 结构体中特定位置。 4.可以不必声明而直接引用的数据类型是(C ) A. STD_LOGIC ; B. STD_LOGIC_VECTOR; C. BIT; D. ARRAY。 5. MAXPLUS2不支持的输入方式是(D ) A 文本输入;.B. 原理图输入;C. 波形输入;D. 矢量输入。 6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C ) A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 7.下面不属于顺序语句的是( C ) A. IF语句; B. LOOP语句; C. PROCESS语句; D. CASE语句。 8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是( A ) A. 器件外部特性; B. 器件的内部功能; C. 器件的综合约束; D. 器件外部特性与内部功能。 9. 进程中的信号赋值语句,其信号更新是( C ) A. 按顺序完成; B. 比变量更快完成; C. 在进程的最后完成; D. 都不对。 10. 嵌套使用IF语句,其综合结果可实现:(A ) A. 带优先级且条件相与的逻辑电路; B. 条件相或的逻辑电路; C. 三态控制电路; D. 双向控制电路。 一、单项选择题:(20分) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述

EDA(FPGA)期末考试试题

这是长期总结的EDA期末考试试题 试题一 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。 (3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。 (4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。 说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。 3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40 答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构? P40~41 答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。 3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56 答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。 4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX221 IS PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号 s0,s1:IN STD_LOGIC; outy:OUT STD_LOGIC);--输出端 END ENTITY; ARCHITECTURE ONE OF MUX221 IS SIGNAL tmp : STD_LOGIC; BEGIN

(完整版)EDA技术考试试题B及详细答案

《EDA技术》第二学期期末试题B 卷号:B 时间:120 分钟2008 年6 月 专业:电子信息工程学号:姓名: 一、填空题(20分,每题2分) 当前ASIC制造商都自己开发了HDL语言,但是都不通用,只有美国国防部开发的()语言成为了IEEE. STD_1076标准,并在全世界得到了承认。 载入protel的Schematic中的()和()可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。 零件封装是指()。 EDA技术也称(),是在( )技术的基础上发展起来的计算机软件系统。 目前世界上有十几家生产CPLD/FPGA的公司,最大的三家是:(),( ),LATTIC 。 顺序描述语句中,()在MAX-PLUS中不被支持。 VHDL语句中能被赋予一定值的对象称为客体,主要有常数,信号和变量。其中常数对应代表数字电路中的电源和接地等。信号对应物理设计中的()。 FPGA可分为两大类,分别是SRAM-BASE和Anti-Fuse 设计时一般选用()。100mil=()mm,7.62mm=( )mil。 PCB封装元件实际上就是()。 二、名词解释题(20分,每题4分) 1 PLD/FPGA 2.过孔 3.铜膜线 4 PROM、PAL和PLA 5 自顶向下的/自下而上的设计方法 三、选择题(15分,每题3分) 1.下列常用热键具有在元件浮动状态时,编辑元件属性功能的是() PgUp Tab Space bar Esc 2.Design/Options菜单中下列选项不属于开关选项的是:() A.Snap Grid B.Hidden Pins C.Electrical Grid D.Title block 3.下列不属于VHDL基本程序结构是() A..CONFIGURATION定义区 B..ARCHITECTURE定义区 C.USE定义区 D.ENTITY定义区 4.下列关于VHDL中信号说法不正确的是:()

EDA期末考试题大全

附带: 一.问答题 1信号赋值语句在什么情况下作为并行语句?在什么情况下作顺序语句?信号赋值和变量赋值符号分别是什么?两种赋值符号有什么区别? ●信号赋值语句在进程外作并行语句,并发执行,与语句所处的位置无关。信号赋值语句在进程内 或子程序内做顺序语句,按顺序执行,与语句所处的位置有关。 ●信号赋值符号为“<=”变量赋值用“:=”。信号赋值符号用于信号赋值动作,不立即生效。 变量,赋值符号用于变量赋值动作,立即生效。 2进程的敏感信号表指的是什么?简述敏感信号表在进程中的作用? ●进程的“敏感信号表”也称敏感表,是进程的激活条件,可由一个或多个信号组成,各信号 间以“,”号分隔。当敏感信号表中的任一个信号有事件发生,即发生任意变化,此时,进程被激活,进程中的语句将从上到下逐句执行一遍,当最后一条语句执行完毕之后,进程即进入等待挂起状态,直到下一次敏感表中的信号有事件发生,进程再次被激活,如此循环往复。 3什么是库、程序包、子程序、过程调用和函数调用? ●库和程序包用来描述和保存元件、类型说明和子程序等,以便在其它设计中通过其目录可查询、 调用。子程序由过程和函数组成。在子程序调用过程中,过程能返回多个变量,函数只能返回一个变量。若子程序调用的是一个过程,就称为过程调用,若子程序调用的是一个函数,则称为函数调用。过程调用、函数调用都是子程序调用。 二.改错题 1.已知sel为STD_LOGIC_VECTOR(1 DOWNTO 0)类型的信号,而a、b、c、d、q均为STD_LOGIC类型的 信号,请判断下面给出的CASE语句程序片段:

●CASE sel IS ●WHEN“00”=>q<=a; ●WHEN“01”=>q<=b; ●WHEN“10”=>q<=c; ●WHEN“11”=>q<=d; ●END CASE; ●答案:CASE语句缺“WHEN OTHERS”语句。 2.已知data_in1, data_in2为STD_LOGIC_VECTOR(15 DOWNTO 0) 类型的输入端口,data_out为STD_LOGIC_VECTOR(15 DOWNTO 0)类型的输出端口,add_sub为STD_LOGIC类型的输入端口,请判断下面给出的程序片段: ●LIBRARY IEEE; ●USE IEEE.STD_LOGIC_1164.ALL; ●ENTITY add IS ● PORT(data_in1, data_in2:IN INTEGER; ● data_out:OUT INTEGER); ●END add; ●ARCHTECTURE add_arch OF add IS ●CONSTANT a:INTEGER<=2; ●BEGIN ●data_out<=( data_in1+ data_in2) * a; ●END addsub_arch; 答案:常量声明时赋初值的“<=”符号应改用“:=”符号。 3.已知Q为STD_LOGIC类型的输出端口,请判断下面的程序片段: ●ARCHITECTURE test_arch OF test IS ●BEGIN ●SIGNAL B:STD_LOGIC; ●Q<= B; END test_arch 答案:信号SIGNAL的声明语句应该放在BEGIN语句之前。 4.已知A和Q均为BIT类型的信号,请判断下面的程序片段: ●ARCHITECTURE archtest OF test IS ●BEGIN ●CASE A IS ●WHEN ‘0’=>Q<=‘1’; ●WHEN ‘1’=>Q<=‘0’; ●END CASE; ●END archtest; 答案:CASE语句应该存在于进程PROCESS内。 三.程序设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

EDA期末试卷

《EDA》期末试卷 一、选择题(每小题3分,共30分) 1、在下图中,F0的逻辑关系为: A.A0⊕A1 B.A0⊙A1 C.A0·A1 D.A0·A1 2、在VHDL中,用语句()表示clock的下降沿。 A.clock='1' B.clock'EVENT AND clock='1' C.clock='0' D.clock'EVENT AND clock='0' 3、如果p1=’1’,p2=’1’,则执行z <= a WHEN p1 = '1' ELSE b WHEN p2 = '1' ELSE c ;之后z 的值为: A.a B.b C.c D.不确定 4、进程中的信号赋值语句,其信号更新是: A.按顺序完成 B.比变量更快完成 C.在进程最后完成 D.都不对 5、不完整的IF语句,其综合结果可实现: A.时序逻辑电路 B.组合逻辑电路 C.双向电路 D.三态控制电路 6、reg的数据类型为std_logic_vector(7 downto 0),初值为FF,执行reg(0)<=’0’;reg(7 downto 1)<=reg(6 downto 0);之后,reg的值为: A.FF B.FE C.FC D.FD 7、在VHDL中()不能将信息带出对它定义的当前进程。 A. 信号 B. 常量 C. 数据 D. 变量 8、执行下列语句后Q的值等于: …… SIGNAL E: STD_LOGIC_VECTOR (2 TO 5); SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2); …… E<=(2=>’1’, 4=>’1’, OTHERS=>’0’); Q<=(2=>E (2), 4=>E (3), 5=>’1’, 7=>E (5), OTHERS=>E (4)); …… A.”11011011” B.”00110100” C.”11011001” D.”00101100” 9、在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。 A.idata <= “00001111”; B.idata <= b”0000_1111”; C.idata <= X”AB”; D.idata <= B”21”; 10、在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的。

EDA试题库

EDA试题库建设 [70%基础题,20%中档题,10%提高题(试题容量:20套试卷,其中每套试题填空题10空(每空2分),选择题10题(每题2分)),简答题4题(每题5分),分析题2题(每题10分),设计题2题(每题10分)。] 基础题部分 填空题(140空) 1.一般把EDA技术的发展分为(CAD)、(CAE)和(EDA)三个阶段。 2.EDA设计流程包括(设计准备)、(设计输入)、(设计处理)和(器件编程)四个步骤。 3.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为(功能仿真)。4.VHDL的数据对象包括(变量)、(常量)和(信号),它们是用来存放各种类型数据的容器。 5.图形文件设计结束后一定要通过(仿真),检查设计文件是否正确。 6.以EDA方式设计实现的电路设计文件,最终可以编程下载到(FPGA)或者(CPLD)芯片中,完成硬件设计和验证。7.MAX+PLUS的文本文件类型是(.VHD)。 8.在PC上利用VHDL进行项目设计,不允许在(根目录)下进行,必须在根目录为设计建立一个工程目录。9.VHDL源程序的文件名应与(实体名)相同,否则无法通过编译。 10.常用EDA 的设计输入方式包括(文本输入方式)、(图形输入方式)、(波形输入方式)。 11.在VHDL 程序中,(实体)和(结构体)是两个必须的基本部分。 12.将硬件描述语言转化为硬件电路的重要工具软件称为(HDL 综合器)。 13、VHDL 的数据对象分为(常量)、(变量)和(信号)3 类。 14、VHDL 的操作符包括(算术运算符)和(符号运算符)。 15、常用硬件描述语言有(Verilog HDL)、(AHDL)以及(VHDL)。 16、VHDL基本语句有(顺序语句)、(并行语句)和属性自定义语句。 17、VHDL 同或逻辑操作符是(XNOR)。 18、原理图文件类型后缀名是(.GDF),Verilog HDL语言文本文件类型的后缀名是(.V )。 19、十六进制数16#E#E1对应的十进制数值是(224)。 20、一个完整的VHDL程序应包含三个基本部分,即库文件说明、(程序包应用说明)和(实体和结构体说明)。 21、VHDL 不等于关系运算符是(/= )。 22、STD_LOGIC_1164程序包是(IEEE )库中最常用的程序包。 23.文本输入是指采用(硬件描述语言)进行电路设计的方式。 24.当前最流行的并成为IEEE标准的硬件描述语言包括(vhdl)和(verilog)。 25.采用PLD进行的数字系统设计,是基于(芯片)的设计或称之为(自底向上)的设计。26.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为(自顶向下)的设计法。 27.EDA工具大致可以分为(设计输入编辑器)、(仿真器)、(hdl综合器)、(适配器)以及(下载器)等5个模块。 28.将硬件描述语言转化为硬件电路的重要工具软件称为(综合器)。 29.用MAX+plusII输入法设计的文件不能直接保存在(根目录)上,因此设计者在进入设计之前,应当在计算机中建立保存设计文件的(工程)。 30.若在MAX+plusII集成环境下,执行原理图输入设计方法,应选择(block diagram/Schematic)命令方式。

EDA期末考试试卷及答案

一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MA TLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→ A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR 语句 11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程

相关文档
最新文档