数字电路实验故障
数字电路实验中常见故障

• 现象三:电路输出部分状态不正确 现象三:
原因1: 电路中信号线接错 原因 解决方法:对照电路图仔细检查每一根信号线连接是否正确 原因2: 电路中信号线有断线或接触不良 原因 解决方法:用三用表电阻档测量每一根信号线是否连接良好 原因3: 电路中二个或二个以上逻辑门的输出端相连。 原因 解决方法:实验中所用逻辑门均为推挽输出。而推挽输出端 是不允许相互连接的。 原因4: 电路设计可能错误 原因 解决方法:重新审核设计电路是否正确。
电子技术实验中心
四 、计数器实验常见故障
• 现象二:计数器计数进制不对 现象二:
原因:没有产生置数(或清零)信号 原因 解决方法:构成任意进制计数器,应该在计数 解决方法 器要求的状态产生置数(或清0)信号。测量 是否有此信号。 退出
电子技术实验中心
四 、计数器实验常见故障
• 现象三 : 计数器计数进制正确 , 而显示 现象三: 计数器计数进制正确, 值不对
。
退出
电子技术实验中心
五 、集成电路பைடு நூலகம்合应用实验常见故障
现象3: 现象 :实验结果部分不正确
原因1:电路中有信号线接触不良 解决方法:对照电路图,借助三用表检查信号线。 原因2:电路设计不正确 解决方法: 重新检查电路设计是否正确。 退出
退出
电子技术实验中心
四 、计数器实验常见故障
• 现象一:计数器不计数 : 现象一:
原因3:计数器芯片电源, 原因 :计数器芯片电源,地线接触不良
解决方法:用三用表直流电压档测量芯片的电源、地线。观察电压 解决方法 是否正确。
原因4: 原因 :没有时钟信号输入
解决方法:用示波器观测连续时钟信号,用三用表直流电压档观测 解决方法 单脉冲信号,观察是否有时钟信号,时钟信号高、低电平是否为 TTL电平。 TTL高电平:2.4V~5V TTL低电平:0V~0.3V 退出
数字电路常见故障类型与检测方法及技巧分析

数字电路常见故障类型与检测方法及技巧分析数字电路是由许多逻辑门组成的,通常用来处理数字信号。
它们被广泛应用于计算机、通信和微电子等领域。
然而,数字电路经常会出现各种故障,这些故障会影响其正常工作。
本文将讨论数字电路常见故障类型、检测方法和技巧。
数字电路常见故障类型1. 电源问题:常常是由于不合适的电源供应导致数字电路故障。
例如,电压不足、电流丢失、电源由于高频噪声而不稳定。
2. 元器件问题:开关、传感器等元器件可能会在使用时受损,例如接触不良、短路、断路等问题。
3. 芯片损坏:由于电压过高或过低、高温或极度寒冷、剧烈振动等原因,芯片可能受到损坏。
4. 程序问题:由于编程错误或软件故障,数字电路可能无法正确运行。
例如,逻辑错误或算法故障。
数字电路检测方法1. 使用脉冲信号。
通过输入脉冲信号,并在输出端使用示波器来检测电路是否能够正确地将输入信号转换为输出信号。
可以使用选通器或开关来控制输入信号。
2. 使用数字信号发生器。
将数字信号发生器连接到输入端,并使用示波器检测在输出端是否存在正确的信号。
与脉冲信号相比,数字信号发生器提供了更丰富的信号实验。
3. 使用逻辑分析仪。
逻辑分析仪可以同时监控多个刺激信号,并显示输出是否正确,这种方法特别适用于复杂的数字电路。
数字电路检测技巧1. 理解数字电路的基本原理。
熟悉数字电路的模块组成和其基本的功能块,以便更容易分析错误。
2. 使用示波器。
示波器可以用于检测电路的输入和输出信号。
正确使用示波器可以帮助识别问题的根源。
3. 逐步测试。
如果出现故障,尝试逐个排除每个单元,并在处理完一个单元之后再将其连接到其余数字电路中,以避免将错误传递给其他单元。
4. 观察端口状态。
使用LED等指示灯来监测输出端口的活动,以确定电路是否将其输入正确地转换为输出。
确定端口状态时,应进行一个小部分的测试并逐步扩大范围。
结论总体而言,要保证数字电路的正常运行,我们需要熟悉数字电路的基本原理,并使用合适的检测方法和技巧来检查任何故障。
数电实验报告

一,实验结果分析实验一:Quartus II 原理图输入法设计(2)实验名称:设计实现全加器实验任务要求:用实验内容(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真并验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。
原理图:仿真波形图:仿真波形图分析:输入a,b代表加数与被加数,输入c代表低位向本位的进位。
输出s代表本位和,输出co代表向高位的进位。
可得真值表为:实验三:用VHDL设计与实现时序逻辑电路(3)实验名称:连接8421计数器,分频器和数码管译码器实验任务要求:用VHDL语言设计实现一个带异步复位的8421码十进制计数器,分频器的分频系数为25k,并用数码管显示数字。
VHDL代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity div isport(clk1 : in std_logic;clk_out : out std_logic);end;architecture d of div issignal cnt : integer range 0 to 12499999;signal clk_tmp : std_logic;beginprocess(clk1)beginif (clk1'event and clk1='1') thenif cnt=12499999 thencnt<=0;clk_tmp<= not clk_tmp;elsecnt<=cnt+1;end if;end if;end process;clk_out<=clk_tmp;end;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count10 ISPORT(clk2,clear2:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END count10;ARCHITECTURE count OF count10 ISSIGNAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk2,clear2)BEGINIF clear2='1' THEN q_temp<="0000";ELSIF (clk2'event AND clk2='1') THENIF q_temp="1001" THENq_temp<="0000";ELSEq_temp<=q_temp+1;END IF;END IF;END PROCESS;q<=q_temp;END count;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY seg7 ISPORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); b: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat1:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );END seg7;ARCHITECTURE show OF seg7 ISBEGINPROCESS(a)BEGINCASE a ISWHEN"0000"=>b<="1111110";WHEN"0001"=>b<="0110000";WHEN"0010"=>b<="1101101";WHEN"0011"=>b<="1111001";WHEN"0100"=>b<="0110011";WHEN"0101"=>b<="1011011";WHEN"0110"=>b<="1011111";WHEN"0111"=>b<="1110000";WHEN"1000"=>b<="1111111";WHEN"1001"=>b<="1111011";WHEN OTHERS=>B<="0000000";END CASE;END PROCESS;cat1<="111011";END show;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity jishuqi8421 isport(clk,clear:IN STD_LOGIC;cout:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );end jishuqi8421;architecture ji of jishuqi8421 iscomponent div25mport(clk1 : in std_logic;clk_out : out std_logic);end component;component count10PORT(clk2,clear2:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); end component;component seg7PORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); b: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat1:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );end component;signal c:std_logic;signal d:std_logic_vector(3 downto 0);beginu1:div port map(clk1=>clk,clk_out=>c);u2:count10 port map(clk2=>c,clear2=>clear,q=>d); u3:seg7 port map(a=>d,b=>cout,cat1=>cat);end ji;仿真波形图:(由于实际使用的50000000分频不方便仿真,仿真时使用12分频)仿真波形图分析:每隔12个时钟信号计数器的值会增加1,直到计数器的值为9时,再次返回0计数。
数字电路实验实验总结归纳

数字电路实验实验总结1.【数电实验报告】交通信号灯故障检测系统一、实验目的1、熟悉各种逻辑门的使用;2、锻炼学生应用各种逻辑门设计组合逻辑电路的能力•二、实验原理组合逻辑电路的设计方法,三、实验内容及要求交通信号灯的正常工作情况为:红灯(A)亮表示停车、黄灯(B)亮表示注意、绿灯(C)亮表示通行,任何时刻只有一盏灯亮;交通信号灯的故障情况为:任意两盏灯同时亮,三盏灯都亮或三盏灯都不亮,请将故障状态以指示灯亮显示出来,要求如下:1,列出逻辑状态表;2,写出逻辑表达式;3,对表达式化简或变换;4.画出实验电路图;5.在数字实验仪上实现.四、预习要求设计电路;列出所用元件清单;制定实验方案;记录实验结果,五、报告要求有详细设计步骤、逻辑图、实验结果分析2.数字电路实验心得体会原发布者:tqgqiaoe7342数字电子技术实验总结心得数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。
通过数字电子技术实验,我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。
在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。
在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如:1、线路不通一一运用逻辑笔去检查导线是否可用;2、芯片损坏一一运用芯片检测仪器检测芯片是否正常可用以及它的类型;3.数电实验总结最低0∙27元开通文库会员,查看完整内容›原发布者:zxyl21380数字电子技术实验总结本学期一共进行了六次实验:L常用集成门电路逻辑测试。
数字逻辑电路实验报告总结

数字逻辑电路实验报告总结一、实验心路历程哎呀,数字逻辑电路实验可真是一段超级有趣又有点小折磨的经历呢!我刚接触这个实验的时候,就像走进了一个神秘的电路世界。
那些电路元件就像是一群小怪兽,我得想办法让它们乖乖听话。
我还记得刚开始的时候,我看着那些电路图,脑袋里就像一团乱麻。
但是我可没有被吓倒哦,我就一点点地去研究每个元件的功能,就像在探索一个个小秘密。
我拿着那些电路板,感觉自己就像是一个电路魔法师,要把这些小零件组合成一个神奇的电路。
二、实验内容与操作在实验过程中,有好多不同的电路要搭建呢。
比如说那个计数器电路,我得把那些触发器按照正确的顺序连接起来。
我一边看着电路图,一边小心翼翼地把元件插到电路板上,就怕插错了一个小地方,整个电路就罢工了。
还有那个译码器电路,要确保输入和输出的关系正确,我就反复地检查线路的连接,眼睛都快看花了。
每次给电路通电的时候,心里都超级紧张,就像在等待一场大惊喜或者大惊吓。
当电路正常工作的时候,那种成就感简直无法形容,就像是我创造了一个小奇迹一样。
三、实验中的困难与解决当然啦,实验也不是一帆风顺的。
我就遇到过电路怎么都不工作的情况。
我当时都快急死了,就像热锅上的蚂蚁。
我把电路检查了一遍又一遍,怀疑这个元件坏了,那个线路断了。
后来我突然发现,原来是有一个引脚没有接好,就这么一个小失误,就导致整个电路瘫痪。
找到问题之后,我赶紧把引脚接好,再通电的时候,电路就正常工作了。
这让我明白了,在做这种实验的时候,一定要超级细心,不能放过任何一个小细节。
四、实验收获通过这个数字逻辑电路实验,我可学到了不少东西呢。
我不仅对数字逻辑电路的原理有了更深刻的理解,还学会了如何耐心地去排查电路故障。
而且我的动手能力也大大提高了,以前我看到那些电路元件就发怵,现在我能熟练地把它们组合起来,做出各种有趣的电路。
这个实验就像是一个小挑战,我成功地战胜了它,感觉自己变得更强大了呢。
大规模数字模拟电路逻辑故障诊断与可靠性设计实验报告

大规模数字模拟电路逻辑故障诊断与可靠性设计实验报告学生姓名:学号:同组成员:2011年12月18日目录第一部分:数字电路系统故障诊断与可靠性设计 (1)1 伪穷举法数字逻辑电路故障诊断 (1)1.1 实验目的 (1)1.2 实验原理 (1)1.3 实验内容 (2)1.4 实验设备 (2)1.5 实验步骤 (2)1.6 实验结果分析 (4)2 故障字典法数字逻辑电路故障诊断 (5)2.1 实验目的 (5)2.2 实验原理 (5)2.3 实验内容 (5)2.4 实验设备 (6)2.5 实验步骤 (6)2.6 实验结果分析 (8)3 布尔差分法数字逻辑电路的故障诊断 (8)3.1 实验目的 (8)3.2 实验原理 (8)3.3 实验内容 (10)3.4 实验设备 (10)3.5 实验步骤 (11)3.6 实验结果分析 (12)第二部分:模拟电路系统故障诊断与可靠性设计实验 (13)1 故障字典法测试模拟电路系统故障 (13)1.1 实验目的 (13)1.2 实验原理 (13)1.3 实验设备 (14)1.4 实验内容 (14)1.5 实验结果分析 (17)1.6 思考题 (18)第三部分:总结、实验心得 (20)第一部分:数字电路系统故障诊断与可靠性设计1 伪穷举法数字逻辑电路故障诊断1.1 实验目的1) 理解穷举法和伪穷举法在测试组合逻辑电路故障中各自的优缺点。
2) 掌握用伪穷举法测试查找组合逻辑电路故障的方法。
1.2 实验原理一个具有n 个原始输入端的组合电路实现逻辑功能)(X F ,而原设计的逻辑功能为)(*X F ,如果对于任意设计n 维矢量i X 有)()(*i i X F X F =那么认为所设计或使用的电路是正确的,或者说是无故障的。
显然,为了全面校核该组合电路,应把所有可能的i X 都作为输入矢量,然后观察其输出(响应)是否与原设计相符,以鉴别其是否有故障,这种做法叫穷举法。
穷举法可以检测电路中所有可能的故障,但由于其测试的工作量太大,因此在实际应用上,尤其是对大型电路的测试存在困难,甚至是不现实的。
数字电路的故障检查和排除

数字电路的故障检查和排除实验中,完全不出故障是比较困难的,通常会遇到下述三类典型的故障:设计错误、布线错误、器件与底板故障,其中大量的故障出现在布线错误上,如:导线插入面包板插孔不够深,接触不到金属导体或接触不良,或者插孔外裸露导线部分太多,左右相邻孔间导线造成短路等。
清楚和规则的布线才能有利于实现电路功能,并为检查和排除电路故障提供方便。
下面基于正确设计前提下,总结故障检查方法。
1、全部连线接好以后,通电前仔细检查一遍。
检查集成芯片是否选择正确,方向是否插对,引脚有无折弯、互碰情况,多余输入端处理是否正确,是否有两个以上输出端错误地连在一起等。
布线是否合理,是否有相碰短路现象。
2、电源线与地线在内的连线是否有漏线与错线。
每个集成芯片只有在加了额定电源电压时才能正常工作,完成其逻辑功能。
对TTL电路,应为5V;CMOS电路,一般为4V~15V,错误的电压值可能导致芯片不工作、功能错误甚至损坏。
所以在给电路提供电源前,需要先用万用表的“欧姆×10”挡,测量实验电路的电源端与地线端之间的电阻值,排除电源与地线的开路与短路现象,并且使用万用表的“直流电压挡”测量直流稳压电源输出电压是否为所需值,然后再将稳压电源的输出接到实验电路中,并观察电路及各器件有无异常发热等现象。
如芯片过烫、冒烟应立即切断电源。
图1正确的检查芯片工作电源的方法图2 错误的检查芯片电源的方法3、在2的基础上再检查各集成芯片是否均已加上电源。
可靠的检查方法是如图1所示那样,用万用表的测试表笔直接测量集成芯片电源端和地线两引脚之间的电压。
这种方法可以检查出断线、引线虚接、因芯片管脚折断或折弯而未能插入实验底板的情况。
图2中,虽然能判断出电源已经接到面包板的窄条上,但不能确保芯片工作电源正确。
4、如果无论输入信号怎样变化,输出一直保持高电平不变,则可能集成电路没有接地或接地不良。
若输出信号保持与输入信号同样规律变化,则可能集成芯片没有接电源。
数字电路实验注意事项

调试数字电路的方法,一般分静态测试和动态测试两种。 静态测试是指在输入端设置固定的输入电平,用三用表或 显示器件测输出端的高、低电平是否符合要求。动态测试 是指在输入端加一串脉冲,用示波器测输出波形与输入波 形的同步关系,检查电路的逻辑功能。
调试数字电路时,应逐级进行,即先单元电路,后系统 联试。
③器件之间要留有空隙,以便于走线和插拔器件。
④所有集成电路最好按同一方向插入,不要倒插。 ( 8)拔出集成电路时,应用专用起拔工具 -U型夹或小改 锥对起两头,不要用手去拔,以免损坏引脚。 ( 9)暂时不用的集成电路,应放在元件盒中或插到通用 底板空闲处备用,不得乱放,以免丢失或压坏引脚。
3. 数字电路的调试和排除故障
( 13 ) CMOS 电路特有一种失效模式 — 锁定效应,也称 “可控硅效应”,是器件固有的故障现象。在电路中应采 取措施加以预防: ①注意电源的去耦,加粗地线,减小地线电阻; ②在不影响电路工作的情况下,尽量降低VDD值;
③在不影响电路工作速度的情况下,使电源允许提供的 电流小于锁定电流(一般器件的锁定电流在 40mA左右); ④对输入信号进行钳位。
( 4)导线插入插孔时,用力不要过猛,以防因导线插入 过深,使导线塑料包层插入造成绝缘。
(5)布线要有顺序,以免漏接。
( 6)布线应贴近底板表面,在集成电路周围走线,尽量 不要覆盖不用的孔,切忌将导线跨越集成电路。 (7)整齐的布线相当重要。 总之,布线应力求整洁、清晰、可靠。
2. 数字集成电路的正确使用
对故障点的查找采取适当的方法:
( 1)布线前,要熟悉线路及相关知识,熟悉元器件的功 能和使用方法,检查所用元器件功能、引出端是否完好无 损,以避免因此而引起的线路故障。
( 2)按布线规则认真布线,并正确使用元器件。布线完 毕或故障出现后,按常规方法仔细分析、检查电路,以发 现并排除线路的易发常见的简单故障。 ( 3)对复杂线路或疑难故障,在上述工作的基础上,适 当采用“逐级跟踪法”,“替代法”,“对比法”,“对 分法”查找故障点,排除故障。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字集成电路实验常见故障的成因及查找方法
秦绪玲
( 营口职业技术学院 115000)
摘要:在数字集成门电路实验教学中,经常会出现各种故障,使学生分组实验无法顺利进行下去,特别是教师在教学过程中,如果不能尽快指导学生排除故障,就很难完成教学任务,本文通过实验教学实践,总结出一些常见故障的成因及查找方法。
关键词: 集成门电路;故障;成因;查找。
中图分类号: 文献标识码:
引言:数字集成电路实验是与数字电子技术基础课程同步进行的,其中包括一些验证性实验、集成电路功能测试实验及一些应用电路的综合设计实验。
在实验过程中出现一些故障是在所难免的,出现故障的原因有实验设备的客观因素,也有学生操作的主观因素。
要想快速排除故障,就必须掌握故障的特点和成因。
1.常见的故障
1.1固定电平故障
所谓固定电平故障是指输入电平无论怎么变化输出电平基本不变。
例如,”与”的正常逻辑关系是有“0”出“0”、全“1”出“1”,但发生故障时,无论输入如何,输出总是保持“0”状态或“1”状态。
1.2非逻辑电平故障
所谓非逻辑电平是指输入电平或输出电平的实际电压值不在规定的高电平或低电平的范围内,即不是高电平也不是低电平。
1.3桥接故障
所谓桥接故障是指电路中两条或多条导线短接引起电路失效。
常见的桥接故障有三种:一种发生在输入端,一个或几个独立集成电路输入信号之间桥接引发故障,如图一(a )。
例如异或门电路的两个输入端桥接就会造成其异或功能失效;另一种发生在输出端,一个或几个集成电路的输出端桥接引发故障,如图一(b );第三种发生在输出端与输入端之间的桥接,称为反馈桥接引发故障,如图一(c )。
(a )输入端桥接 (b )输出端桥接
(c)反馈桥接
图一
1.4固定开路故障
固定开路故障是一种经常发生在cmos电路中的特殊故障。
当集成电路内部某个输入管的栅极开路或引脚与其相接的电路接触不良、或引脚为多余端但没有接电源或接地,使该输入端为高组态输入,从而造成其输出状态在短时间内为一固定值。
2故障的成因
2.1插接错误
在实验中经常会出现集成电路插件被插反、引脚被插断、部分引脚没有插入插槽、插槽与引脚接触不良、个别引脚被插弯与相邻引脚桥接等,造成实验故障。
2.2 布线错误
布线错误也是实验中常见的故障形式。
表现为:
(1)错接:电源线与地线接反,造成集成电路发热甚至被烧坏、输出与输入接反导致信号传输混乱、输入错接电源或地造成输入电平错误。
(2)漏接:多个集成电路共同使用时漏接某一个或几个集成电路的电源线和地线、漏接cmos门电路多余端等,造成输出逻辑故障。
(3)桥接:输入端桥接、输出端桥接、输入与输出之间桥接。
(4)虚接:集成电路插件或插线没有插牢固。
虚接很容易造成电路工作不稳定,从而发生间歇的故障。
2.3 实验设备出现问题
输入高、低电平显示错误、部分插槽内部电路出现故障、输出LED损坏、芯片本身损坏、导线内部断路等,都会造成实验故障。
2.4 集成电路选用错误
在选用集成电路时只注重考虑集成电路的功能,而没有考虑其性能参数,如带负载能力、工作速度、热稳定性等。
特别是没有考虑集成电路之间的接口问题[1],不同类型的集成电路如果各项参数不能匹配,电路将无法正常工作。
3查找故障的方法
查找故障通常采用直观查找法、逻辑电平测试法、分区检查法、电阻测量法及替换法、顺序查找法等。
3.1直观查找法
利用“问、看、闻、摸、测”五种方法判断故障的大致部位。
“问”主要是问学生有哪些异常现象,这样教师可以少走弯路,节省时间。
“看”就是观察插件是否插反、是否松动;电源正负极是否接错;通电后集成电路是否冒烟等。
“闻”就是通电后闻一闻是否有烧焦的气味。
“摸”就是用手去接触集成电路,感觉烫手即可判断电路出现故障。
“测”就是用万用表、示波器、逻辑笔等对电路进行检测,确定故障并定位。
3.2逻辑电平测试法
逻辑电平只有高和低两种状态,在查找故障时,可用万用表的直流电压挡测量集成电路相关引脚的逻辑电平,然后根据电路中输入电平的变化,判断输出电平的变化是否符合电路的逻辑关系,从而判断故障所在部位。
例如74ls系列集成门电路[2]输出高电平的下限值为2.7V,输出低电平的上限值为0.5V;输入高电平的下限值为1.8V,输入低电平的上限值为0.8V。
若1.8V以上的高电平输入非门电路,则输出电压应该低于0.5V,否则非门电路存在故障。
3.3分区检查法
判断是否由相连的其他集成电路引起的故障,可以采用分区检查法,即把集成电路与集成电路之间的连线拆除,分别检查集成电路的逻辑功能是否正常,如果集成电路本身的逻辑功能正常,而且经过仔细检查没有发现线路连接错误,则应考虑与该集成电路相连的其他部
分集成电路有无故障,特别要注意的是连接线是否有虚接现象,因为从外表上看很难辨别,而虚接很容易造成电路工作不稳定,从而发生间歇性的故障。
3.4电阻测量法
先用万用表测出完好集成电路的正、反向电阻,然后对使用中相同的集成电路正、反向电阻进行测试,利用比较法即可确定集成电路是否损坏。
例如,正常的TTL系列集成电路正、负电源之间的正向电阻为几千欧,反向电阻为几十千欧左右。
而电源端与其他端的正反向电阻为几十千欧~几百千欧之间[3]。
另外在集成电路出现异味及冒烟现象时,应该切断电源,用万用表进行电阻测试,查找短路部位;除此之外,采用电阻测量法还可以检测集成电路插槽插孔之间是否短路或接触不良。
3.5替换法
在确定电源供电、集成电路的插接、电路的连线等都没有问题的情况下,可采用相同型号的集成电路更换被怀疑的集成电路,从而判断集成电路是否出现故障。
3.6顺序查找法
在对整个应用电路功能及工作原理比较熟悉的基础上,采用顺序查找法,常用的顺序查找法有两种:
(1)由输入级到输出级逐级查找。
在输入端加上输入信号,按照信号的流向从输入级到输出级,用万用表、示波器或逻辑笔等逐级逐点地检查信号的传输情况,从而缩小故障范围,判断出故障所在位置。
(2)由输出级向输入级查找。
当发现输出信号有问题时,就从输出级开始逐级向输入级查找,直到测出有正常信号为止,由此可以判断故障出现在由不正常到正常的一级电路中。
4结语
实际上故障的查找、排除过程对学生来说是一个很难得的技能训练项目,教师应该把故障的成因及检测、排除方法作为授课的一个必要环节,传授给学生。
特别是职业院校的学生,技能训练更显得尤为重要。
参考文献[1]杨志忠. 数字电子技术[M].北京:高等教育出版社,2000:77
[2]周良全, 方向乔.数字电子技术基础[M].北京:高等教育出版社,2002:61-62.
[3]高泽涵.电子电路故障诊断技术[M].西安:西安电子科技大学出版社,2001:76.。