重庆大学光电学院EDA复习试卷

重庆大学光电学院EDA复习试卷
重庆大学光电学院EDA复习试卷

EDA试卷

一、单项选择题

1、2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→________→综合→适配→__________→编程下载→硬件测试。

A. 功能仿真

B. 时序仿真

C. 逻辑综合

D. 配置

3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。

A. 软IP

B. 固IP

C. 硬IP

D. 全对

4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。

A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。

B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件。

C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。

D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。

5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过_______实现其逻辑功能。

A. 可编程乘积项逻辑

B. 查找表(LUT)

C. 输入缓冲

D. 输出缓冲

6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。

A. 器件外部特性

B. 器件的内部功能

C. 器件外部特性与内部功能

D. 器件的综合约束

7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中________不属于面积优化。

A. 流水线设计

B. 资源共享

C. 逻辑优化

D. 串行化

8. 进程中的信号赋值语句,其信号更新是_________。

A. 立即完成

B. 在进程的最后完成

C. 按顺序完成

D. 都不对

9. 不完整的IF语句,其综合结果可实现________。

A. 时序逻辑电路

B. 组合逻辑电路

C. 双向电路

D. 三态控制电路

10. 状态机编码方式中,其中_________占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。

A. 一位热码编码

B. 顺序编码

C. 状态位直接输出型编码

D. 格雷码编码

二、VHDL程序填空

1. 下面程序是1位十进制计数器的VHDL描述,试补充完整。

LIBRARY IEEE;

USE IEEE._____________.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT10 IS

PORT ( CLK : IN STD_LOGIC ;

Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)) ;

END CNT10;

ARCHITECTURE bhv OF ______ IS

SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS (CLK)

_______

IF __________________ THEN -- 边沿检测

IF Q1 > 10 THEN

Q1 <= (OTHERS => '0'); -- 置零

ELSE

Q1 <= Q1 + 1 ; -- 加1

END IF;

END IF;

END PROCESS ;

__________

END bhv;

2. 下面是一个多路选择器的VHDL描述,试补充完整。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY bmux IS

PORT ( sel : ____ STD_LOGIC;

A, B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

Y : ____ STD_LOGIC_VECTOR(___ DOWNTO 0)) ;

END bmux;

ARCHITECTURE bhv OF bmux IS

BEGIN

y <= A when sel = '1' ______

______;

END bhv;

三、VHDL程序改错

仔细阅读下列程序,回答问题

LIBRARY IEEE; -- 1 USE IEEE.STD_LOGIC_1164.ALL; -- 2 ENTITY LED7SEG IS -- 3 PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- 4 CLK : IN STD_LOGIC; -- 5 LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); -- 6 END LED7SEG; -- 7 ARCHITECTURE one OF LED7SEG IS -- 8 SIGNAL TMP : STD_LOGIC; -- 9 BEGIN -- 10 SYNC : PROCESS(CLK, A) -- 11 BEGIN -- 12 IF CLK'EVENT AND CLK = '1' THEN -- 13 TMP <= A; -- 14 END IF; -- 15 END PROCESS; -- 16 OUTLED : PROCESS(TMP) -- 17 BEGIN -- 18 CASE TMP IS -- 19

WHEN "0000" => LED7S <= "0111111"; -- 20

WHEN "0001" => LED7S <= "0000110"; -- 21

WHEN "0010" => LED7S <= "1011011"; -- 22

WHEN "0011" => LED7S <= "1001111"; -- 23

WHEN "0100" => LED7S <= "1100110"; -- 24

WHEN "0101" => LED7S <= "1101101"; -- 25

WHEN "0110" => LED7S <= "1111101"; -- 26

WHEN "0111" => LED7S <= "0000111"; -- 27

WHEN "1000" => LED7S <= "1111111"; -- 28

WHEN "1001" => LED7S <= "1101111"; -- 29

END CASE; -- 30 END PROCESS; -- 31 END one; -- 32

1.在程序中存在两处错误,试指出,并说明理由:

2.修改相应行的程序:

错误1 行号:程序改为:

错误2 行号:程序改为:

四、阅读下列VHDL程序,画出原理图(RTL级)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY HAD IS

PORT ( a : IN STD_LOGIC;

b : IN STD_LOGIC;

c : OUT STD_LOGIC;

d : OUT STD_LOGIC

);

END ENTITY HAD;

ARCHITECTURE fh1 OF HAD IS BEGIN c <= NOT(a NAND b); d <= (a OR b)AND(a NAND b); END ARCHITECTURE fh1;

五、请按题中要求写出相应VHDL 程序

1. 带计数使能的异步复位计数器

输入端口:

clk 时钟信号

rst 异步复位信号 en 计数使能

load 同步装载

data

(装载)数据输入,位宽为10

输出端口: q 计数输出,位宽为10 2. 看下面原理图,写出相应VHDL 描述

六、综合题

下图是一个A/D 采集系统的部分,要求设计其中的FPGA 采集控制模块,该模块由三个部分构成:控制器(Control )、地址计数器(addrcnt )、内嵌双口RAM (adram )。控制器(control )是一个状态机,完成AD574的控制,和adram 的写入操作。Adram 是一个LPM_RAM_DP 单元,在wren 为’1’时允许写入数据。试分别回答问题

放大采样/保持

AnalogIn

信号预处理FPGA采集控制

adram

(lpm_ram_dp)

Control

AD574

CS ADData 12

CE A0

RC K12_8

地址计数器

CLK

ClkInc Cntclr

wraddr

10

wren

rddata

12

rddata rdaddr

10

12

1

STATUS

下面列出了AD574的控制方式和控制时序图

e a

b y

AD574逻辑控制真值表(X表示任意)

CE CS RC K12_8 A0 工作状态

0 X X X X 禁止

X 1 X X X 禁止

1 0 0 X 0 启动12位转换

1 0 0 X 1 启动8位转换

1 0 1 1 X 12位并行输出有效

1 0 1 0 0 高8位并行输出有效

1 0 1 0 1 低4位加上尾随4个0有效

AD574工作时序:

1.要求AD574工作在12位转换模式,K12_8、A0在control中如何设置

2.试画出control的状态机的状态图

3.对地址计数器模块进行VHDL描述

输入端口:clkinc 计数脉冲

cntclr 计数器情零

输出端口:rdaddr RAM读出地址,位宽10位

4.根据状态图,试对control进行VHDL描述

5.已知adram的端口描述如下

ENTITY adram IS

PORT

(

data : IN STD_LOGIC_VECTOR (11 DOWNTO 0); -- 写入数据

wraddress: IN STD_LOGIC_VECTOR (9 DOWNTO 0); -- 写入地址

rdaddress: IN STD_LOGIC_VECTOR (9 DOWNTO 0); -- 读地址

wren : IN STD_LOGIC := '1'; -- 写使能

q : OUT STD_LOGIC_VECTOR (11 DOWNTO 0) -- 读出数据

);

END adram;

试用例化语句,对整个FPGA采集控制模块进行VHDL描述

EDA試卷答案

一、单项选择题

1、2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→__A__→综合→适配→____B____→编程下载→硬件测试。P14

A. 功能仿真

B. 时序仿真

C. 逻辑综合

D. 配置

3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__A___。P25

A. 软IP

B. 固IP

C. 硬IP

D. 全对

4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,_____D____是错误的。P15

A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。

B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件。

C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。

D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。

5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过___A__实现其逻辑功能。P42

A. 可编程乘积项逻辑

B. 查找表(LUT)

C. 输入缓冲

D. 输出缓冲

6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____B___。P274

A. 器件外部特性

B. 器件的内部功能

C. 器件外部特性与内部功能

D. 器件的综合约束

7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中___A___不属于面积优化。P238

A. 流水线设计

B. 资源共享

C. 逻辑优化

D. 串行化

8. 进程中的信号赋值语句,其信号更新是___B____。P134

A. 立即完成

B. 在进程的最后完成

C. 按顺序完成

D. 都不对

9. 不完整的IF语句,其综合结果可实现__A__。P147

A. 时序逻辑电路

B. 组合逻辑电路

C. 双向电路

D. 三态控制电路

10. 状态机编码方式中,其中__A__占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。P221

A. 一位热码编码

B. 顺序编码

C. 状态位直接输出型编码

D. 格雷码编码

二、VHDL程序填空

1. 下面程序是1位十进制计数器的VHDL描述,试补充完整。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT10 IS

PORT ( CLK : IN STD_LOGIC ;

Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)) ;

END CNT10;

ARCHITECTURE bhv OF CNT10 IS

SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS (CLK)

BEGIN

IF CLK'EVENT AND CLK = '1' THEN -- 边沿检测

IF Q1 > 10 THEN

Q1 <= (OTHERS => '0'); -- 置零

ELSE

Q1 <= Q1 + 1 ; -- 加1

END IF;

END IF;

END PROCESS ;

Q <= Q1;

END bhv;

2. 下面是一个多路选择器的VHDL描述,试补充完整。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY bmux IS

PORT ( sel : IN STD_LOGIC;

A, B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

Y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)) ;

END bmux;

ARCHITECTURE bhv OF bmux IS

BEGIN

y <= A when sel = '1' ELSE

B;

END bhv;

三、VHDL程序改错

仔细阅读下列程序,回答问题

LIBRARY IEEE; -- 1 USE IEEE.STD_LOGIC_1164.ALL; -- 2 ENTITY LED7SEG IS -- 3 PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- 4 CLK : IN STD_LOGIC; -- 5 LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); -- 6 END LED7SEG; -- 7

ARCHITECTURE one OF LED7SEG IS -- 8 SIGNAL TMP : STD_LOGIC; -- 9

BEGIN -- 10 SYNC : PROCESS(CLK, A) -- 11

BEGIN -- 12 IF CLK'EVENT AND CLK = '1' THEN -- 13 TMP <= A; -- 14 END IF; -- 15 END PROCESS; -- 16 OUTLED : PROCESS(TMP) -- 17 BEGIN -- 18 CASE TMP IS -- 19

WHEN "0000" => LED7S <= "0111111"; -- 20

WHEN "0001" => LED7S <= "0000110"; -- 21

WHEN "0010" => LED7S <= "1011011"; -- 22

WHEN "0011" => LED7S <= "1001111"; -- 23

WHEN "0100" => LED7S <= "1100110"; -- 24

WHEN "0101" => LED7S <= "1101101"; -- 25

WHEN "0110" => LED7S <= "1111101"; -- 26

WHEN "0111" => LED7S <= "0000111"; -- 27

WHEN "1000" => LED7S <= "1111111"; -- 28

WHEN "1001" => LED7S <= "1101111"; -- 29

END CASE; -- 30 END PROCESS; -- 31 END one; -- 32

1.在程序中存在两处错误,试指出,并说明理由:

第14行TMP附值错误

第29与30行之间,缺少WHEN OTHERS语句

2.修改相应行的程序:

错误1 行号:9程序改为:

TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);

错误2 行号:29 程序改为:

该语句后添加WHEN OTHERS => LED7S <= "0000000";

四、阅读下列VHDL程序,画出原理图(RTL级)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY HAD IS

PORT ( a : IN STD_LOGIC;

b : IN STD_LOGIC;

c : OUT STD_LOGIC;

d : OUT STD_LOGIC

);

END ENTITY HAD;

ARCHITECTURE fh1 OF HAD IS

BEGIN

c <= NOT(a NAND b);

d <= (a OR b)AND(a NAND b);

END ARCHITECTURE fh1;

五、请按题中要求写出相应VHDL程序

1.带计数使能的异步复位计数器

输入端口:clk 时钟信号

rst 异步复位信号

en 计数使能

load 同步装载

data (装载)数据输入,位宽为10 输出端口:q 计数输出,位宽为10

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT1024 IS

PORT ( CLK, RST, EN, LOAD : IN STD_LOGIC;

DATA : IN STD_LOGIC_VECTOR (9 DOWNTO 0);

Q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0) ); END CNT1024;

ARCHITECTURE ONE OF CNT1024 IS

BEGIN

PROCESS (CLK, RST, EN, LOAD, DATA)

VARIABLE Q1 : STD_LOGIC_VECTOR (9 DOWNTO 0); BEGIN

IF RST = '1' THEN Q1 := (OTHERS => '0'); ELSIF CLK = '1' AND CLK'EVENT THEN

IF LOAD = '1' THEN Q1 := DATA; ELSE

IF EN = '1' THEN

Q1 := Q1 + 1;

END IF; END IF;

END IF;

Q <= Q1;

END PROCESS;

END ONE;

2. 看下面原理图,写出相应VHDL 描述

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY TRI_STATE IS PORT ( E, A : IN STD_LOGIC; Y : INOUT STD_LOGIC;

B : OUT STD_LOGIC);

END TRI_STATE;

ARCHITECTURE BEHAV OF TRI_STATE IS BEGIN PROCESS (E, A, Y) BEGIN IF E = '0' THEN B <= Y;

Y <= 'Z';

e a

b y

ELSE B <= 'Z';

Y <= A;

END IF;

END PROCESS;

END BEHAV;

六、综合题

下图是一个A/D 采集系统的部分,要求设计其中的FPGA 采集控制模块,该模块由三个部分构成:控制器(Control )、地址计数器(addrcnt )、内嵌双口RAM (adram )。控制器(control )是一个状态机,完成AD574的控制,和adram 的写入操作。Adram 是一个LPM_RAM_DP 单元,在wren 为’1’时允许写入数据。试分别回答问题

放大采样/保持

AnalogIn

信号预处理FPGA采集控制

adram

(lpm_ram_dp)

Control

AD574

CS ADData 12

CE A0

RC K12_8

地址计数器

CLK

ClkInc Cntclr

wraddr

10

wren

rddata

12

rddata rdaddr

10

12

1

STATUS

下面列出了AD574的控制方式和控制时序图

AD574逻辑控制真值表(X 表示任意)

CE CS RC K12_8 A0 工 作 状 态

X X X X 禁止 X 1 X X X 禁止 1 0 0 X 0 启动12位转换 1 0 0 X 1 启动8位转换 1 0 1 1 X 12位并行输出有效 1 0 1 0 0 高8位并行输出有效 1

1

1

低4位加上尾随4个0有效

AD574工作时序:

1. 要求AD574工作在12位转换模式,K12_8、A0在control 中如何设置 K12_8为‘1’,A0为‘0’

2.试画出control的状态机的状态图

类似书上图8-4

3.对地址计数器模块进行VHDL描述

输入端口:clkinc 计数脉冲

cntclr 计数器清零

输出端口:rdaddr RAM读出地址,位宽10位

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity addr_cnt is

port ( clkinc, cntclr : in std_logic;

wraddr : out std_logic_vector (9 downto 0) ); end addr_cnt;

architecture one of addr_cnt is

signal tmp : std_logic_vector (9 downto 0);

begin

process (clkinc, cntclr)

begin

if clkinc'event and clkinc = '1' then

if cntclr = '1' then

tmp <= (others => '0');

else

tmp <= tmp + 1;

end if;

end if;

end process;

wraddr <= tmp;

end one;

4.根据状态图,试对control进行VHDL描述

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity control is

port ( addata : in std_logic_vector (11 downto 0);

status, clk : in std_logic;

cs, ce, a0, rc, k12_8, clkinc : out std_logic;

rddata : out std_logic_vector (11 downto 0) );

end control;

architecture behav of control is

type con_st is (s0, s1, s2, s3, s4);

signal cst, nst : con_st;

signal lock : std_logic;

signal reg12 : std_logic_vector (11 downto 0);

begin

a0 <= '0';

k12_8 <= '1';

ce <= '1';

cs <= '0';

REGP : process (clk)

begin

if clk'event and clk = '1' then

cst <= nst;

end if;

end process;

COMP : process (cst, status, addata)

begin

case (cst) is

when s0 => rc <= '1'; lock <= '0'; nst <= s1;

when s1 => rc <= '0'; lock <= '0'; nst <= s2;

when s2 => if status = '1' then nst <= s3; end if;

rc <= '1'; lock <= '0';

when s3 => rc <= '1'; lock <= '1'; nst <= s4;

when s4 => rc <= '1'; lock <= '0'; nst <= s0;

when others => nst <= s0;

end case;

end process;

LOCKP : process (lock)

begin

if lock = '1' and lock'event then

reg12 <= addata;

end if;

end process;

rddata <= reg12;

clkinc <= lock; --(或者为NOT LOCK,延后半个时钟)

end behav;

5.已知adram的端口描述如下

ENTITY adram IS

PORT

(

data : IN STD_LOGIC_VECTOR (11 DOWNTO 0); -- 写入数据

wraddress: IN STD_LOGIC_VECTOR (9 DOWNTO 0); -- 写入地址

rdaddress: IN STD_LOGIC_VECTOR (9 DOWNTO 0); -- 读地址

wren : IN STD_LOGIC := '1'; -- 写使能

q : OUT STD_LOGIC_VECTOR (11 DOWNTO 0) -- 读出数据

);

END adram;

试用例化语句,对整个FPGA采集控制模块进行VHDL描述

library ieee;

use ieee.std_logic_1164.all;

entity daco is

port ( clk, cntclr, status : in std_logic;

addata : in std_logic_vector (11 downto 0);

rdaddr : in std_logic_vector (9 downto 0);

cs, ce, a0, rc, k12_8 : out std_logic;

rddata : out std_logic_vector (11 downto 0) );

end daco;

architecture one of daco is

component control is

port ( addata : in std_logic_vector (11 downto 0);

status, clk : in std_logic;

cs, ce, a0, rc, k12_8, clkinc : out std_logic;

rddata : out std_logic_vector (11 downto 0) );

end component;

component addr_cnt is

port ( clkinc, cntclr : in std_logic;

wraddr : out std_logic_vector (9 downto 0) );

end component;

component adram IS

PORT

(

data : IN STD_LOGIC_VECTOR (11 DOWNTO 0); -- 写入

数据

wraddress: IN STD_LOGIC_VECTOR (9 DOWNTO 0); -- 写入地址

rdaddress: IN STD_LOGIC_VECTOR (9 DOWNTO 0); -- 读地址

wren : IN STD_LOGIC := '1'; -- 写使能

q : OUT STD_LOGIC_VECTOR (11 DOWNTO 0) -- 读出数据

);

END component;

signal rds : std_logic_vector (11 downto 0);

signal clkinc : std_logic;

signal wraddr : std_logic_vector (9 downto 0);

begin

u1 : control port map (addata => addata, status => status, clk => clk, cs => cs, ce => ce, a0 => a0, rc => rc,

k12_8 => k12_8, clkinc => clkinc, rddata => rds);

u2 : addr_cnt port map (clkinc => clkinc, cntclr => cntclr, wraddr => wraddr);

u3 : adram port map (data => rds, wraddress => wraddr,

rdaddress => rdaddr, wren => '1', q => rddata); end one;

重庆大学热能与动力工程考研经验

考研,我的观点是:量力而行,考一个自己考的上的学校!热能与动力工程,专业面非常广,就业也不错,值得你们为之努力。重大热动初试复试成绩比是一半一半,初试是保证你能去复试,290的分数线确实不高,但是一个高的初试成绩可以让你受到好导师的青睐,所以,初试好好努力,很重要。初试时,目标必须明确,珍惜时间,不要彷徨!你做的事是在改变你的命运,永远要记住这一点! 初试不想多说什么,英语每天都要看,别上来就老是背单词背单词别的都不顾了,英语真题重要!政治最好早看最好暑假就看,买本风中劲草,开始的时候先不要背,只看!辅导班的不要了吧,真心感觉没用,网上下载视频看就行(我报了政治和数学)。数学,今年的数学对我来说很难,玩不了啊,我数学又不是特别好,你们要重视,今年难可能明年就简单了,复习的时候要中基础,我最后2个月才开始做真题,感觉时间不够用,数学这种棘手的科目还是早下手的好!**的辅导老师张宇的课确实不错,网上有视频!专业课,考传热或者工热,不管你是选传热还是工热都差不多,去年传热比工热难,今年工热比传热难,但是总体而言,差不多!专业课其实很简单,真题最重要。必须保证真题的每一道你都得会,因为有重题。金胜才系列考研辅导书有全国大约20所学校的初试真题,建议做做。胡小平在国防科技大学出版社出的一本传热学辅导也不错,题不在多,关键是你必须把握真题的出题规律。(我正在准备整理传热学真题答案,另外还有几年的传热学辅导,你们可以联系我)复试是最让人彷徨的了。初试成绩一出,同学们都在忙着联系导师。老师的回复基本上都是“欢迎你报考我们的研究生,录取情况看复试情况及学院安排”。一个外校的,初试成绩不高就不要指望老师直接说要你,重大的老师一般都不会说要谁的,保证了公平,这一点很不错!所以,即使是没有老师给你回复也不要紧,最终成绩出来了,当天找老师也行!我们是25号晚上笔试,18号的时候改了复试通知,加了听力和能源动力装置基础(大杂烩,咱专业的所有方向都有,建议提前看)。22号又变了。改成传热工热流体必考,能源动力装置(锅炉原理(含燃烧学),制冷原理,自动控制),报核专业的考核原理与技术。重大考的全,建议涉猎一定要广,重视锅炉原理,燃烧,制冷,传热,工热,流体!今年有约28个985.211的调剂过来的,录取了不少!他们基础好,复试表现比较好! 今年的录取是出来成绩后考上的同学填导师,专硕以后是没有导师的,专硕也上3年。很公平,老师不参与招生!重大今年是第二年招专硕,好多事上都没经验,正在摸索,专硕报的是动力工程,不是照顾专业!150左右人复试,21个报专硕的全部录取,学费全免。116个学术录取54人,前40名免学费,55到66名调剂到专硕,55,56,57免学费!刷了很多人,应该一年比一年多,所以,各位加油哈。。。 推荐阅读: 重庆大学硕士研究生入学考试备考手册 重庆大学硕士研究生入学考试科目及参考书目

重庆大学电气工程学院老师名单及简介

重庆大学电气工程学院老师名单及简介 刘和平,博士,教授,博士生导师。重庆大学研究生院研究生创新实践基地技术支持专家;重庆大学—美国德州仪器数字信号处理方案主任;重庆大学—美国微芯公司PIC单片机实验室主任。 赵霞,博士,副教授。主讲“电力系统稳态分析”、“专业英语”及Power System Analysis全英文硕士课程;从事电力系统建模与仿真、电力系统风险评估及新能源接入方面的研究。 杨丽君,博士,副教授,硕士生导师。从事大型电力变压器内绝缘老化机理及寿命预测、变压器局部放电在线监测、局部放电模式识别、电力设备在线监测抗干扰技术、绝缘材料改性等方面研究。 韩力,博士,教授,博士生导师。获国家教学成果二等奖2项、国家教委教学成果三等奖1项、重庆市教学成果一等奖1项、重庆市教学成果二等奖1项、重庆市教委和重庆市高等教育学会教育科学奖励各1项,发表科研论文70余篇(其中SCI、EI检索论文20余篇),培养研究生30余人。 李剑,博士,教授,博士生导师院长助理,系主任。

周雒维,教授,博士生导师。重庆大学电气工程学院党委书记;IEEE高级会员;国务院政府特殊津贴专家;重庆市首届电力电子学科学术带头人;《电路原理》国家精品课程负责人;中国电源学会副理事长、国际交流工作委员会主任委员;《电工技术学报》、《电源技术学报》、《电源技术应用》等杂志编委;2002-2007 International Conference on Power and Energy Systems USA 国际程序委员会委员、亚洲联络人。 王正勇,电力电子与新技术系老师,主讲电路原理1.2。曾担任本科生毕业设计导师,其毕业设计方向有建筑电气与智能化工程设计与研究等。 张谦,博士,副教授,硕士生导师。主持省部级教学改革研究项目1项,主持“国家电工电子基础实验教学中心创新性实验”项目1项,参加国家及省部级教改项目4项;2008-2009学年第一学期、2009~2010学年第二学期两次荣获重庆大学教学效果好前50名教师称号;2008年荣获电气工程学院“师德师风先进个人”称号;2007年获得重庆大学青年教师讲课比赛二等奖。 廖瑞金,博士、教授、博士生导师。输配电装备及系统安全与新技术国家重点实验室主任;重庆大学电气工程学院院长;教育部长江学者特聘教授;“高电压输配电装备安全与新技术”国家自然科学基金创新研究群体带头人;国家杰出青年基金获得者;重庆市两江学者特聘教授。

仪器科学与光电工程学院

北京航空航天大学仪器科学与光电工程学院 2016年硕士研究生招生复试流程及要求 一、总原则 1、坚持公平、公正、公开的原则。 2、实行差额方式进行复试。 3、研究生与指导教师双向选择确定指导教师。 二、复试资格基本线 说明: 第一志愿报考我学院上述专业,满足相应学科分数线的全国统考考生、强军计划考生,退役大学生计划考生可来我学院参加复试。

三、拟录取人数 四、组织结构 仪器科学与光电工程学院硕士研究生招生领导小组由以下成员组成:组长:房建成 副组长:赵慧洁、徐立军 成员:魏振忠、李钢、金靖、袁艳、宋凝芳、刘刚 五、复试规定 1、考生复试以“仪器科学与技术----精密仪器及机械方向”、“仪器科学与技术----测试计量技术及仪器方向”、“仪器科学与技术----光电探测技术及仪器方向”、“光学工程(学术型)”、“摄影测量与遥感”、“仪器仪表工程----精密仪器及机械方向”、“仪器仪表工程----测试计量技术及仪器方向”、“仪器仪表工程----光电探测技术及仪器方向”、“光学工程(全日制专业学位)”9个专业为单位进行。 2、复试总成绩300分,方式为综合面试,每名考生综合面试时间不得少于20 分钟。 综合面试 1)专业能力——专业基础知识及专业综合能力; 2)外语能力——专业外语和口语; 3)逻辑分析; 4)创新精神和创新能力; 5)综合素质——语言表达、逻辑思维;学习能力、参与科研与社会实践情况;事业心、责任感、纪律性、协作性;文学素养,举止、应变能力及文明礼仪等;

3、参加我学院复试考生均需进行复试资格审查,未通过资格审查的考生不能参 加学科的复试。复试资格审核内容及要求见附件1; 4、总成绩=初试成绩+复试成绩,按总成绩进行高低分排队,择优录取; 5、复试成绩不及格者(低于180分)不予录取; 6、面试程序 1)面试小组会议,情况通报; 2)考生自我介绍; 个人情况简介(包括姓名、本科院校及专业、学习经历、对科研工作的认识、攻读研究生的目的等等); 3)面试组成员提问; 4)面试组成员打分; 5)综合排序(拟录取顺序); 六、调剂原则 1、一志愿优先录取。一志愿报考我院满足相应学科复试要求的考生,必须参加第一志愿学科的复试。未被第一志愿学科录取的学术型考生,可申请调剂到部分未录满的学术型学科、“仪器仪表工程”、“光学工程(全日制专业学位)”专业;未被第一志愿学科录取的专业型考生,可申请调剂到“仪器仪表工程”、“光学工程(全日制专业学位)”专业。申请调剂的考生应在复试记录表上填报调剂学科,按学科要求参加调剂复试。 2、一志愿报考我学院但未参加第一志愿学科专业复试的考生,视为考生本人放弃,不得再申请我学院其它学科的调剂复试。 3、我院不接收一志愿未报考我学院的考生调剂。 七、复试工作安排 复试时间:3月24日至3月25日 1、复试资格审核时间:3月24日8:00—9:30 地点:新主楼B707 2、复试时间:3月24日9:30,地点及分组在资格审核时公布。

光电工程学院教学成果奖一览表.pdf

光电工程学院教学成果奖一览表 年度获奖类别获奖类别子类获奖名称获奖级别获奖等级获奖年月授予单位作者 2014教学成果类教学成果奖基于光电技术的测控技术与 仪器专业特色研究与建设 省部级二等奖2014年吉林省人民政府徐熙平 2009年教学成果类教学成果奖光学系列课程建设的探索研 究与实践 省部级二等奖2009年吉林省人民政府王文生 2001年教学成果类教学成果奖光电综合实验教学系统的研 究与实践 省部级三等奖2001年吉林省人民政府张海诚 1989年教学成果类教学成果奖研究生能力的综合培养和学 科建设 省部级省级1989年吉林省人民政府沈柯 2017教学成果类教学成果奖仪器零件上机实验教学改革 的研究与实践校级二等奖2017/9/25长春理工大学 王春艳,白素平,闫钰 锋,齐立群,付芸 2017教学成果类教学成果奖“仪器零件上机实验”教学改革 的研究与实践 校级二等奖2017/9/14长春理工大学 王春艳,白素平,闫钰 锋,齐立群,付芸 2017教学成果类教学成果奖应用光学课程集成创新教学 模式的探索与实践校级一等奖2017/9/25长春理工大学 刘冬梅,付跃刚,王文 生,刘智颖,陈宇 2013教学成果类教学成果奖发挥学科优势构建本科与研 究生共享的课程体系与课程 改革实践探索 校级一等奖2013/1/1长春理工大学 付跃刚,付秀华,刘智 颖,王延明,李全勇,姚 治海,刘冬梅,牟达,张 磊,李艳红

2008教学成果类教学成果奖光学工程实践教学的素质教 育方法研究与实践校级一等奖2008/12/26长春理工大学 付跃刚,邸旭,刘智颖, 张磊,王慧,刘冬梅 2008教学成果类教学成果奖优秀教学标兵校级无2008/9/9长春理工大学韩文波

重庆大学光电学院EDA复习试卷汇总

EDA试卷 一、单项选择题 1、2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→________→综合→适配→__________→编程下载→硬件测试。 A. 功能仿真 B. 时序仿真 C. 逻辑综合 D. 配置 3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。 A. 软IP B. 固IP C. 硬IP D. 全对 4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。 A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。 B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件。 C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。 D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。 5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过_______实现其逻辑功能。 A. 可编程乘积项逻辑 B. 查找表(LUT) C. 输入缓冲 D. 输出缓冲 6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。 A. 器件外部特性 B. 器件的内部功能 C. 器件外部特性与内部功能 D. 器件的综合约束 7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中________不属于面积优化。 A. 流水线设计 B. 资源共享 C. 逻辑优化 D. 串行化 8. 进程中的信号赋值语句,其信号更新是_________。 A. 立即完成 B. 在进程的最后完成 C. 按顺序完成 D. 都不对 9. 不完整的IF语句,其综合结果可实现________。 A. 时序逻辑电路 B. 组合逻辑电路

开设能源动力类热能与动力工程专业的院校名单

开设能源动力类热能与动力工程专业的院校名单 [北京] 清华大学、北京科技大学、北方交通大学、北京理工大学、北京航空航天大学、北京工业大学、中国农业大学、石油大学 [天津] 天津大学、天津理工学院、天津商学院、天津城市建设学院 [河北] 河北工业大学、华北电力大学、河北理工学院 [山西] 太原理工大学、太原重型机械学院 [内蒙古] 内蒙古工业大学 [辽宁] 东北大学、大连理工大学、辽宁工程技术大学、沈阳航空工业学院、大连水产学院、辽宁科技大学、沈阳工业大学、沈阳化工学院 [吉林] 吉林大学、东北电力学院 [黑龙江] 哈尔滨工业大学、哈尔滨工程大学、哈尔滨理工大学、佳木斯大学、哈尔滨商业大学 [上海] 上海交通大学、同济大学、上海理工大学、上海水产大学、上海电力学院 [江苏] 江苏理工大学、东南大学、河海大学、中国矿业大学、南京理工大学、南京航空航天大学、扬州大学、南京工业大学、华东船舶工业学院、江苏石油化工学院、苏州大学、南京工程学院 [浙江] 浙江大学 [安徽] 中国科学技术大学、合肥工业大学、安徽工业大学 [福建] 集美大学 [江西] 南昌大学、景德镇陶瓷学院 [山东] 山东大学、青岛大学、山东建筑工程学院 [河南] 洛阳工学院、郑州轻工业学院、焦作工学院、郑州大学 [湖北] 武汉大学、华中科技大学、武汉理工大学、武汉化工学院、湖北汽车工业学院 [湖南] 湖南大学、华北水利水电学院、中南大学、长沙电力学院

[广东] 华南理工大学、广东工业大学、五邑大学、湛江海洋大学、仲恺农业技术学院[广西] 广西大学 [重庆] 重庆大学 [四川] 四川大学、西南交通大学、四川工业学院 [贵州] 贵州工业大学 [云南] 昆明理工大学 [陕西] 西安交通大学、西北工业大学、西安理工大学、西北农林科技大学 [甘肃] 甘肃工业大学、兰州铁道学院 文章来源:https://www.360docs.net/doc/608874150.html,/wenwen/wenwen 原文链接:https://www.360docs.net/doc/608874150.html,/wenwen/new/242015864.html

重庆大学电气工程学院--生涯人物访谈报告(优秀)

生涯人物访谈报告 专业:电气工程及其自动化姓名:xxx 学号:xxx 班级:电气xx班 2015年4月20日

生涯人物访谈人物简介 访谈时间:4月8日18:30—19:30 访谈方式:面对面交流 人物姓名:xx 工作单位:国网xx江北供电公司 岗位及职务:电力调度控制中心指挥班班长 前言: 在2014年学院举办的暑期实践活动中,我有幸可以通过此次机会到国网xx 江北供电公司实习,并且在电力调度指挥中心进行的为期45天实习中,很幸运的认识了部门管理汪班长。于是通过电话询问并确定了可以进行访谈的时间,在访谈过程结束后,我受益匪浅,这不仅让我对自己的职业生涯规划有了一个更明确的方向,同时也懂得了自己在大学里面应该怎样去充实自己、丰富自己,更加看清了当代高等教育给与我们的机遇和挑战。作为一个大学生,我们似乎更应该去了解一下自己周围的社会环境和社会形势,明确自己的人生方向,在进入社会前做好充分的准备,积极应对社会就业形势的巨变,让自己在茫茫人海中占有一席之地。 访谈主要内容 1.请问你是怎样决定自己的职业的?你做了哪些准备? 答:在进入国家电网工作前我是在部队担任职务,任职期限满以后部队可以分派我们到自己家乡工作,同时因为当时有亲戚在江北供电局工作然后就委托家里人

帮忙为我争取到了在国家电网工作的机会。我记得当时挺年轻气盛的,干劲十足,估计是为了自己以后有一个更好的未来吧,我在得知自己可以去工作以后在网上查找了很多与电网相关的资料等,还时常请教在电网工作的老前辈关于工作上面的问题。在多年的踏踏实实、勤勤恳恳工作以后,如今也是担任了电力调度中心抢修指挥班的班长,我很感谢年轻时候对自己的高要求和高标准,如果以前没有严格要求自己,我相信自己也还只是一个小职员。 2.您认为这个工作一开始要求什么技能,知识?除了专业知识以外还求什么? 答:最开始要求的技能还是比较基础的,大概就是熟悉一些电脑办公软件,然后知道并熟悉公司的运作流程,学会对平时的工作进行总结。后期要求的技能就比较专业化一点了,我要掌握电工及电力系统的知识和技能以及班组建设组织能力等。除了专业知识,电力工作人员最需要具备的技能要有:一、很强的安全意识以防止意外事故发生;二、对集体、对群众都必须要有高度的责任心;三、具备默契的、和谐的团队精神。专业知识外还必须具备两方面的知识:安全生产知识、操作规程知识等。要知道在进入企业前的一个月培训期间必须要掌握安全生产知识,最后安全生产知识考试中必须要获得优异的成绩后才方可被公司录用,所以除了专业知识外,这些安全知识也是十分看重的。 3.目前行业从事此工作要求什么样的教育和培训背景? 答:安全生产、操作规程、企业文化等教育和培训,同时也要具备同其他工作人员正常沟通交流的能力。 4.工作中您的主要职责是什么? 答:我从事的电力调度抢修指挥工作,每天的首要任务就是确定江北片区哪些线路会存在断电或者抢修的工作,然后分派任务给部门工作人员相应任务,去及时解决市区群众提交的事故抢修情况,最后就是需要向上级领导提交前一天的工作情况。除了每天的日常工作,我还要负责自己班的班组建设,主要内容就是定时召开班组会议以及创建班组独特文化等。

重庆大学电气学院认识实习优等报告打印版

重庆大学电气工程学院实习报告 摘要 2012年9月,重庆大学电气工程学院组织了2010级同学参加认识实习,从而使同学们对电力设备的生产及电力的生产和配送产生直观的认识,以便于后期学习的开展,本文详细记录了本人在认识实习期间的收获与心得,在本次认识实习中,本人共参观了九龙电厂、重庆大学主教学楼、巴山变电站、重庆ABB 变压器有限公司、重庆电机厂等五个场所,同时接受了两次视频学习,在文章中,包含了本人对每个场所的概况介绍,实习过程和收获,实习小节等三个部分。并在最后进行了本次实习认识的总结,提出进一步加深自身基础理论学习,同时更好地将理论结合实践学习的想法。 关键字:认识实习概况介绍总结理论结合实践

目录 目录 1、实习目的及性质: (3) 2、实习内容: (3) 3、实习日程: (4) 4、参观过程: (4) 4.1、实习动员大会 (4) 4.2九龙电厂 (5) 4.2.1九龙电厂概况 (5) 4.2.2实习过程及收获 (5) 4.2.3实习小结 (7) 4.3重庆大学A区主教 (7) 4.3.1主教概况: (8) 4.3.2实习过程及收获: (8) 4.3.3实习小结 (9) 4.4 巴山220KV变电站 (9) 4.4.1 巴山220KV变电站概况 (9) 4.4.2实习过程及收获 (9) 4.4.3实习小结: (10) 4.5教学录像观看 (10) 4.5.1实习过程及收获 (11) 4.6重庆ABB变压器有限公司 (13)

4.6.1重庆ABB变压器有限公司概况 (13) 4.6.2实习过程及收获: (13) 4.6.3实习小结 (14) 4.7重庆电机厂 (14) 4.7.1重庆电机厂概况 (14) 4.7.2实习过程及收获 (15) 4.7.3实习小结 (15) 5、实习总结: (15) 6、参考文献: (16) 1、实习目的及性质: 现场认识实习为重庆大学电气工程与自动化专业必修实践教学环节,在同学们掌握基础课知识的基础上,通过参观生产企业、听取学术讲座和观看教学录像片,结合工程实际问题,进一步了解各种主要电气设备的基本结构和作用、电能的生产和输配方法、电气设备的控制与检测方法,为今后的专业课程学习打下良好的基础。并通过现场实习,让学生学习工厂工作人员的优良品质和严肃认真的工作作风,初步了解电气工程领域相关的仪器、仪表和设备,熟悉企业的生产、运行和管理等情况,培养学生了解专业、热爱专业、勤奋学习、树立为我国电气工程发展作贡献的思想品质和业务素质。 2、实习内容: 1、学习和了解主要电气设备(含建筑电气设备)的种类、名称、结构、作用、和主要参数。 2、学习和了解变电站主控制室和开关的作用和布置方式,电气主接线类型。

大连理工大学物理与光电工程学院2010级队2010~2011学年

目录 前言 0 一班青春纪念册 (1) 物理1001班生活委员工作总结 (8) 物1002团支部委员会记录(1) (10) 物1002团建说明会 (16) 物理三班放马金鞍 (19) 1003班生活委 (21) 物1004活动总结 (22) 物1004生活委员工作总结 (23) 物理1005 (25) 物1005生活委员工作总结 (30) 物科1001班活动总结 (32) 物科工作总结 (37) 物理与光电工程学院2010级队2010~2011学年工作总结 (38) 物理与光电工程学院2010上半学年级队长工作总结 (53) 物理与光电工程学院2010级队2010-2011学年上学期团支书工作总结 (56) 物理与光电工程学院2010级队学习委员2010——2011上学期工作总结 (59) 物理与光电工程2010级队 2010~2011学年生活委工作总结 (61) 物理与光电工程学院2010级队宣传委员工作总结 (64) 物理与光电工程学院2010~2011学年级队心理工作总结 (66) 物理与光电工程学院2010级队文艺委员工作汇报 (69) 物理与光电工程学院2010级队2010-2011学年体委总结汇编 (72) 物理与光电工程2010级队 2010~2011学年组织工作汇报 (74) 物理与光电工程学院2010级队组织委员例会纪要物理与光电工程2010级队77 第8周级队委例会纪要 (77) 物理与光电工程学院2010级队宣传委员工作总结 (79) 聆听前辈教诲,追寻奋斗足迹 (81)

第一个来到大学的学期是快乐的也是忙碌的,在这半年中,我们的学习取得了可喜的成绩,同时,工作也有声有色的进行,期间我们对嘉年华的全程支持获得了全院甚至全校的好评,元旦游园会更是收到了极大的关注。各班的班级建设取得了丰硕成果,各班形成了自己独特的班风。 在此制作级队工作汇编,展示级队工作成果、班级风貌。总结经验,为下学期工作提供借鉴,并期望大家可以从中回顾自己参加的活动,对这学期所得所失有所回顾,下学期争取能更上一层楼。 资料由各班班委及级队委提供,各级队委精心总结,由级队团支部汇编整理成册(部分进行删改)并得到庞老师和佟老师的支持和指导,由策划到付诸实践经历8周时间。其中收录了级队精彩的图片资料,各班上学期整体工作及级队委工作成果。值得一提的是有些班委进行了上学期工作的总结,说出自己对上学期工作的感受。 因是第一次尝试,制作比较粗糙,也存在一些纰漏作,由于网络等问题导致资料的不完整性,以及印刷对资料的要求,还望同学随时对内容进行增补,电子版还有待同学进一步完善。 在此感谢各班同学、各级队委班委的全程大力支持。感谢庞老师佟老师的指导。 郝熙格于2010年2月9日

(光学工程方向)本科生培养方案 - 哈尔滨工业大学

光电信息科学与工程专业(光学工程方向)本科生培养方案 一、培养目标 本专业培养具有较高思想道德、文化修养、敬业精神和社会责任感,具有健康的体魄和良好的心理素质,具备光电信息科学与工程方面知识和能力的宽基础、高素质、具有创新意识和实践能力的创新型人才。本专业学生应在光电信息科学与工程领域各研究方向上具有宽厚的理论基础、扎实的专业基础知识、熟练的实验技能,并具有综合运用光电科学理论和技术分析解决工程问题的基本能力,能从事光电信息技术方向的研究、设计、制造及新产品、新技术、新工艺的研究与开发等工作。 二、培养要求 本专业学生主要学习光电信息科学与工程的基本理论和基本知识,接受光电信息系统分析、设计和研究方法等方面的基本训练,具有研究、设计、开发、集成及应用光电信息系统的基本能力,培养学生具备光电信息学科的研究和工程技术研发,以及产品的设计、生产、销售和服务或工程项目的施工、运行和维护能力。本专业特别注重培养学生终生学习和在工程实践中学习的能力,使学生具有工程科技创新和创业的意识。本专业学生毕业后能在光电信息科学与工程相关领域从事研究、设计、开发、应用和管理工作。本专业在学习过程中接受工程技术基础、科学研究等多方面综合能力的训练,培养过程体现信息产业高速发展、学科交叉的趋势。 毕业生应具备以下几方面的知识和能力: 1.具有良好的工程职业道德、追求卓越的态度、强烈的爱国敬业精神、社会责任感和丰富的人文科学素养; 2.具有从事工程工作所需的数学和其他相关的自然科学知识以及一定的经济管理知识; 3.具有良好的质量、环境、职业健康、安全和服务意识; 4.掌握扎实的工程基础知识和本专业的基本理论知识,熟悉本专业领域内1~2个专业方向或有关方面的专业知识,了解本专业的学科前沿和发展趋势; 5.具备综合运用所学基础理论和专业知识分析并解决工程实际问题的能力,具有一定计算机相关知识和较强的计算机应用能力; 6.具有较强的创新意识和进行光电信息系统分析、设计、开发以及系统运行和维护的初步能力,具有较强的实践和动手能力; 7.具有自主获取知识能力,了解本专业领域的技术标准和相关行业的政策、法律和法规,具有较强的自学能力、分析能力和鉴别能力; 8.具有较好的组织管理能力、较强的交流沟通、环境适应和团队合作的能力; 9.具有一定的国际视野和跨文化环境下的交流竞争和合作的初步能力,掌握一门外国语,具有较好的听、说、读、写能力,能较顺利地阅读本专业的外文书籍和资料。 三、主干学科 光学工程。

光注入与电注入下LED发光特性研究

重庆大学本科学生毕业设计(论文) 光注入与电注入下LED发光特性研究 学生:吴飞 学号:20073401 指导教师:文静 专业:光电信息工程 重庆大学光电工程学院 二O一一年六月

Graduation Design(Thesis) of Chongqing University The studies on characteristics of luminescence under optical and electronic injection Undergraduate: Wufei Supervisor: Prof. Wenjing Major: Optoelectronic Information Engineering College of Opto-Electronic Engineering of Chongqing University June 2011

摘要 发光二极管(LED)的非接触测量手段就是光激励LED,那么非接触测量手段能否实现关键是光激励能否取代电激励。国内外的研究表明,在分别往AlInGaP 红色LED里注入相同强度的电流和光强激励LED时,PL和EL有着极为相似的光谱,但是光谱随着注入强度的变化,存在着波峰红移情况,造成两种光谱图有差异。 随着研究的深入,发现影响这种差异的不是注入方式的影响,而是注入方式的不同给LED的p-n结温带来的不同影响,也就是说如果能控制p-n结的结温,相同注入强度下的PL和EL光谱会完全相同,研究表明光注入完全可以取代电注入,即:非接触测量手段是完全可能实现的。 本课题通过研究了LED的发光机理,以及影响LED发光的因素,通过比较光注入与电注入下LED发光特性的区别,得出了以下结论:光致发光和电致发光有着完全相同的发光原理,影响发光光谱的因素也完全相同,但是PL光谱和EL光谱存在着差异,这种差异不是注入方式的影响,而是源于注入方式的不同给LED 结温带来的不同影响。 关键词:发光二极管(LED),p-n结结温,光致发光(PL),电致发光(EL),注入方式

2014年重庆大学电气工程学院复试之电磁场研究生复试

1、选择题 04(1) 1、下面哪一个矢量恒等式是正确的()? ( a ) ; ( b ); ( c ) 。 2、静电场中电位的零值点,其电场强度()。 ( a ) 为零; ( b )不为零; ( c ) 不一定为零。 3、电位的偏微分方程(泊松方程或拉普拉斯方程)只适于()介质区域。 ( a ) 各向同性、线性; ( b ) 各向同性、非线性;( c ) 各向同性、线性、均匀。 4、恒定电场的基本方程为()。 ( a ) ; ( b ) ; ( c ) 。 5、恒定电场中,流入或流出闭合面的总电流等于()。 ( a ) 闭合面包围的总电荷量;( b ) 总电荷量随时间的变化率; ( c ) 零。 6、选出错误的描述()。 ( a ) 空间任意一点的能流密度由该点处的电场强度和磁场强度确定; ( b ) 理想导体内部不存在时变的电磁场; ( c ) 在分界面上磁感应强度的法向分量是不连续的。 7、透入深度d 表示电磁波衰减到表面值的()时所经过的距离。 ( a ) 63.2%; ( b ) ; ( c ) 。 8、称导电媒质为色散媒质是因为()。

( a ) 电磁波是衰减波; ( b ) 超前; ( c ) 相速与频率有关。 9、当电磁波在均匀导电媒质中传播时下面哪一种现象不会发生()。 ( a ) 体电荷密度; ( b ) 集肤效应; ( c ) 去磁效应。 10、时变电磁场的波动性是指()。 ( a ) 时变的电场和磁场互相激励,彼此为源,由近及远向外传播。 ( b ) 电场以电荷为源,由近及远向外传播 ( c ) 磁场以电流为源,由近及远向外传播。 (2)2007 ~2008学年第 2学期A卷 1. 静电场中的介质产生极化现象,介质内电场与外加电场相比,有何变化?( ) ① 变大 ② 变小 ③ 不确定 2. 在恒定电场中,分界面两边电流密度矢量的法向分量是(); ① 不连续的 ② 连续的 ③ 不确定的 3. 虚位移法求解磁场力的原理依据是( ) ① 安培环路定律 ②毕奥--沙伐定律 ③ 能量守恒定律 4. 矩形波导中的截止波长与( )有关; ① 波导尺寸 ② 频率 ③ 波导尺寸和模式 5. 单元偶极子的近区场的条件是( ): ① r << λ, r << 1 ②r << λ, r >> 1 ③r >> λ, r >> 1 6. 下面的说法不正确的是( ); ① 相速代表信号的能量传播的速度 ② 在导电媒质中,相速与 频率有关 ③ 相速是指等相面移动的速度 7. 滞后位的概念反映了( ); ①电场的变化滞后于磁场的变化 ② 场的变化滞后于源的变化③

电子信息工程(光电工程)专业本科人才培养方案

电子信息工程专业(光电工程方向,半导体绿色光源方向)本科 人才培养方案 一、培养目标 本专业培养德、智、体、美全面发展,品德高尚、视野开阔,具有较强实践能力和创新精神,掌握电子信息工程领域的专业知识和基本工程技能,具有光电子材料与器件、光电子信息显示、光伏技术、光电检测等技术领域,尤其是半导体光电子器件的制备、封装与检测、电光源驱动以及半导体照明产品的设计与开发,能够在绿色光源行业及其相关的半导体公司胜任光电产品的研发、设计、制造、和产品检测等工作,适应江门五邑地区、珠三角、广东省及周边地区经济社会发展的高素质应用型人才。 二、培养要求 应用物理与材料学院电子信息工程专业(光电工程方向、半导体绿色光源方向)本科人才培养基 本要求

三、主干学科 半导体物理 四、相近专业 微电子技术、光电信息工程、光源与照明、光学工程 五、专业主干课程 电路分析基础、低频电子线路、数字电路、显示与驱动、LED驱动电路设计与制作、太阳能光伏发电技术、基础物理、近代物理、固体物理、半导体器件物理与工艺、发光学与发光材料、光电子技术、光电子专业实验、传感器原理与技术、光电薄膜与真空技术、光电信号检测、LED 封装技术、光学设计、照明散热与分析等课程。 六、学制 标准学制4年,弹性学制4-8年,授予学位:工学学士。 七、总学分、总学时及构成表

八、毕业规定 1、至少取得168学分(其中必修课143学分,选修课25学分)。选修课中人文社科、艺术类及经管类等三类通识课程至少各取得2学分,模块一至少取得17.5学分(或模块二至少取得14.5学分)。参加3+1模式学习的学生,可在企业获得相应的选修课程学分。 2、至少获得6个第二课堂学分。 3、通过体育达标测试。 九、专业课程中英文对照表 十、专业教学进程及计划表

结构动力学大作业(重庆大学)

研究生课程考核试卷 (适用于课程论文、提交报告) 科目:结构动力学大作业教师: 姓名:学号: 专业:土木工程类别:学术上课时间: 2013 年 11 月至 2014 年 1 月考生成绩: 阅卷评语: 阅卷教师 (签名) 重庆大学研究生院制

土木工程学院2013级硕士研究生考试试题 科目名称:结构动力学考试日期:2014年1月总分:20分 1、按规定设计一个2跨3层钢筋混凝土平面框架结构(部分要求如附件名单所示;未作规定部分自定)。根据所设计的结构参数,求该结构的一致质量矩阵、一致刚度矩阵; 2、至少采用两种方法求该框架结构的频率和振型; 3、输入地震波(地震波要求如附件名单所示),采用时程分析法,利用有限元软件或自编程序求出该框架结构各层的线性位移时程反应。 要求给出: (1)框架结构图,并给出一致质量矩阵和一致刚度矩阵; (2)出两种方法名称及对应的频率和振型; (3)输入地震波的波形图,计算所得各楼层位移反应时程图。 第 1 页共1页

1框架概况 1.1框架截面尺寸 框架立面图如图 1.1所示,各跨跨度为14000L mm =,各层建筑层高均为 34100L mm =,对应的梁截面分别为2200400mm ?,柱截面均为2300300mm ?。 设楼层进深为24200L mm =,板厚为100mm 。 图1.1框架立面图 1.2动力自由度 框架结构可以理想化为在节点处相互连接的单元(梁和柱)的集合。设 梁、柱的轴向变形均忽略不计,只考虑横向平面位移,则该框架有3平动自由度和9角自由度,共12自由度。自由度编号及梁柱单元编号如图1.2所示。

光电信息科学与工程(物理学院 光信息科学与技术) 专业介绍 Mar 1 2014

南开大学物理科学学院 光电信息科学与工程 (光信息科学与技术)专业介绍 光电信息科学与工程专业原为物理科学学院的“光信息科学与技术”专业,创建于1998年,是天津市重点专业。该专业的前身是南开大学“光学”专业,是国家“211工程”和“985振兴计划”重点支持和建设的学科专业;也是国务院首批硕士学位、博士学位授权专业。根据2012年教育部新颁布的高校本科专业目录更名为“光电信息科学与工程”专业。 本专业以南开大学的“‘光学’国家重点学科”、“物理学国家理科人才培养基地”和“国家级实验教学示范中心”为支撑;以《弱光非线性光子学教育部重点实验室》和《天津市信息光子材料与技术重点实验室》等省部级重点实验室为依托;集中物理科学学院光学学科的优势教学和科研资源;坚持基础教学和前沿科学研究拓展相结合、基础理论与专业实践并重的教育原则;旨在培养德、智、体全面发展的,具备扎实的数理基础,掌握现代光学及光信息科学与技术领域的基本理论、基本知识和基本技能,受到科学研究的初步训练,具有一定的创新意识和创新能力,能够在应用光学、光子学、激光与光电子学、光信息处理、光通信和光传感等领域从事科学研究、教学、技术开发和管理等工作的应用型专门人才。 本专业属于光子学和光电子学在信息领域的科学研究和技术应用的前沿学科,是以物理学中的“光学”为主要基础的交叉综合学科。本专业学生除了学习物理学基础课程以外,将进一步学习现代应用光学、激光原理、信息光电子学、光学信息处理、光通信原理与光网络、光电图像技术、光子学与技术、非线性光物理学、现代电子技术导论以及现代光学实验等光信息科学与技术相关专业课程。 南开大学物理科学学院的光学学科创建于1954年,是国家重点学科,师资力量雄厚。物理科学学院设有物理学一级学科博士点和博士后科研工作流动站,为学生的深造发展奠定了基础。

2020级光电信息科学与工程专业本科人才培养方案(修订稿)

光电信息科学与工程专业本科人才培养方案 一、大类培养概述 遵循“夯实基础、拓宽专业、培养能力、提升素质”的原则,适应现代信息社会的迫切需求,本大类通过第一学年电子信息类通用基础知识的学习,帮助学生深入了解大类相关专业,更好地结合学生自身特点,选择适应学生未来发展的专业。本大类包括测控技术与仪器、光电信息科学与工程、电子科学与技术、集成电路设计与集成系统、通信工程、电子信息工程、物流工程、自动化八个专业。 各专业学生第一学年按大类培养,修满规定学分,在第一学年小学期(S1学期)进行专业分流,学生按照专业兴趣、职业规划和学业成绩选择八个专业中的一个专业进行学习。 二、专业概述 光电工程学院自建院之初便倡导光机电算一体化多学科交叉的办学理念,1992年获批光电技术及系统教育部开放实验室,1998年成为教育部重点实验室,2000年获得光学工程一级学科博士学位授权点。在此背景下,2000年我们新建了“信息工程(光电信息工程专业方向)本科专业”。本专业学生主要学习信息科学与技术的基本理论与基本知识,受到信息系统分析与设计等方面的基本训练,具有设计、开发、集成及应用信息系统等方面的基本能力。随着信息产业新技术的发展,光成为最主要的信息载体,且迎来了迅速发展的时期,出现了许多新的科学分支和技术增长点,国家建设需要大批具有信息工程知识背景,宽基础、高素质的高级专门人才。2006年专业调整,信息工程(光电信息工程专业方向)更名为光电信息工程专业,2013年全国高校本科专业调整,“光电信息工程专业”更名为“光电信息科学与工程专业”。 本专业依托“教育部光电技术及系统重点实验室”和“工业CT无损检测教育部工程研究中心”,专业培养方向主要涉及以下技术领域:(1)光学/光电仪器—它包括光学/光电仪器的结构设计,各种专用光学仪器;如军用光学仪器,测量光学仪器,物理光学仪器等。(2)光子学技术—利用光子原理或光电相互作用原理的器件。它包括各种激光器,光电器件及红外探测器,光电成像器件,超高速摄影,发光光源,短波及X射线光学等。(3)信息光学技术—主要研究光信息的产生、传输、处理及图像显示技术。它包括光信息及图像处理术,图像及模式自动识别,光传输及通信技术,光学遥感技术,目标及传输特征数据库等。(4)光学技术及工程—主要研究光能应用、光加工及有关工程。它包括光学材料、特殊光器件,光刻技术(用于微电子技术),微机械中的微光学技术。(5)光电交叉学科—主要研究光与物质的作用、新型光电材料、生物医学光学、视光学、能量学科与光电学科的交叉、环境学科与光电学科的交叉、海洋学科与光电学科的交叉等。 三、标准学制 四年 四、授予学位 工学学士 五、专业培养目标及培养规格 培养目标 满足光电信息领域对高级工程技术人才和创新性研究型人才的迫切需求,培养具有较高的思想道德和文化素质修养、敬业精神和社会责任感,以及具有健康的体魄和良好的心理素质的,具有坚实数理基础,掌握电子技术科学、光信息科学和计算机等领域较宽厚理论基础和专业知识和具备较强实验

10结构的动力计算习题解答,重庆大学,文国治版教材课后答案

第10章 结构的动力计算习题解答 习题10.1 是非判断题 (1) 引起单自由度体系自由振动的初速度值越大,则体系的自振频率越大。( ) (2) 如果单自由度体系的阻尼增大,将会使体系的自振周期变短。( ) (3) 在土木工程结构中,阻尼对自振周期的影响很小。( ) (4) 由于各个质点之间存在几何约束,质点体系的动力自由度数总是小于其质点个数。( ) (5) 多自由度的自振频率与引起自由振动的初始条件无关。( ) (6) n 个自由度体系有n 个自振周期,其中第一周期是最长的。( ) (7) 如果考虑阻尼,多自由度体系在简谐荷载作用下的质点振幅就不能用列幅值方程的方法求解。( ) 【解】(1) 错误。体系的自振频率与初速度无关,由结构本身的特性所决定。 (2) 错误。由阻尼结构的自振频率2r 1ωωξ=-可知,阻尼增大使自振频率减小,自振周期变长。 (3) 正确。 (4) 错误。由动力自由度的概念知,动力自由度数与计算假定有关,而与集中质量数目和超静定次数无关。 (5) 正确。 (6) 正确。 (7) 正确。 习题10.2 填空题 (1) 单自由度体系运动方程为2P 2()/y y y F t m ξωω++=,其中未考虑重力,这是因为__________。 (2) 单自由度体系自由振动的振幅取决于__________。 (3) 若要改变单自由度体系的自振周期, 应从改变体系的__________或__________着手。 (4) 若由式() 2 1 1βθω=-求得的动力系数为负值,则表示__________。 (5) 习题10.2(5)图所示体系发生共振时,干扰力与__________平衡。 c k W F sin θ t P 12-2(5)习题 图 习题10.2(5)图 (6) 求习题10.2(6)图所示质点系的自振频率时(EI =常数),其质量矩阵[M ]= __________。

重庆大学光电工程学院推荐优秀应届本科毕业生

重庆大学光电工程学院推荐优秀应届本科毕业生 免试攻读硕士研究生实施办法 (2017年5月修订稿) 为贯彻落实教育部及重庆大学关于推荐优秀应届本科毕业生免试攻读硕士研究生的有关文件精神,培养和造就综合素质高、创新能力强、基础扎实的专门人才,鼓励本科学生树立良好的学风,突出个性发展,切实贯彻落实以人为本、因材施教的育人方针,根据《重庆大学推荐优秀应届本科毕业生免试攻读硕士学位研究生工作管理办法(修订)》(重大校〔2017〕43号),结合我院实际,制订本办法。 第一条指导原则 坚持公平、公正、公开;坚持知识、能力、素质并重,倡导学生德、智、体全面发展。 第二条工作机构 学院成立由院长任组长,由本科教学、研究生、学生工作分管负责人和系主任、教师代表及相关工作人员组成的推免生遴选工作组。院推免生遴选工作组下设三个小组:(一)学习成绩核算小组:由教学秘书、教务人员等相关工作人员组成,主要负责申请者学习成绩绩点核算及课程缺考、补考及学分等情况核实工作。 (二)专业能力测评小组:由系主任召集相关专家及工作人员组成,主要负责分专业对入围的申请者进行专业能力测评。 (三)综合素质测评小组:由学办主任、团委书记、辅导员等组成,主要负责对申请者进行政审、综合素质测评及综合成绩绩点核算。 第三条推免生应当具备的基本条件 (一)纳入国家普通本科招生计划录取的应届本科毕业生 (不含专升本、第二学士学位、独立学院学生),完清学费,具有正式学籍。 (二)品德优良,遵纪守法,身心健康;诚实守信,学风端正,无任何考试作弊和剽窃他人学术成果及违法违纪受处分的记录。 (三)按期完成前三学年本专业人才培养方案规定的课程(外语类、体育类课程获得专业规定的学分),且参加全国大学外语四级考试成绩达到425分及以上,或者参加托福考试成绩≥80分,或者参加雅思考试成绩≥5.5。 (四)平均学分绩点(GPA)(参照《重庆大学学分绩点计算办法》(试行)(重大校〔2010〕233号))排名在本专业前40%,所有课程无缺考;前三学年补考门次不超过1门次(高等数学、大学物理无补考)。 第四条申请预留名额或联名推荐,其条件和程序严格按照重大校〔2017〕43号文执行,仍需参加学院组织的综合测评。 第五条工作程序 (一)学生申请。符合条件的学生均可自愿申请,申请者须在规定时间内提交《重庆大学免试攻读硕士学位研究生申请表》及《重庆大学光电工程学院学生申请推免研究生基本情况表》(附件1)。 (二)资格审查。学院推免工作组对申请者进行资格审查,确定入围名单,具体内容包

相关文档
最新文档