(整理)三种图案的霓虹灯课程设计实验报告
PLC控制技术实训报告(霓虹灯闪烁设计)

目录一.任务书 (2)一、基础实训项目一:变频器对电机的运行控制 (2)二、基础实训项目二:模拟量采集与数据处理的综合应用 (2)三、综合型自主实训项目:霓虹灯控制系统的设计 (3)四、实训报告要求 (3)五、实训进度安排 (4)六、实训考核办法 (4)二.基础实训项目一 (5)2.1 项目名称:变频器对电机的运行控制 (5)2.2 项目实训目的: (5)2.3 项目实训设备 (5)2.4 实现过程 (5)2.4.1 实现过程流程图 (5)2.4.2 I/O分配表 (5)2.4.3 I/O接线图 (6)2.4.4 MM440参数设置 (7)2.4.5 PLC控制程序 (8)2.3.6 调试运行 (9)三.基础实训项目二 (9)3.3 设备 (9)3.4 模拟量输入 (10)3.4.2 实现流程图 (100)3.4.3 I/O接线图 (10)3.4.4 PLC控制程序 (11)3.5 模拟量输出 (11)3.5.1 任务内容 (11)3.5.2 实现流程 (11)3.5.3 PLC控制程序 (11)3.6 调试运行 (12)四.综合型自主实训项目 (13)4.1 项目名称:霓虹灯控制系统的设计 (13)4.2 项目工艺要求 (13)4.3 实现方案 (13)4.3.1 I/O接线图 (13)4.3.2 I/O地址分配 (13)4.3.3 实现流程图 (14)4.3.4 控制程序 (14)4.3.5 调试运行 (18)五.收获、体会 (20)六.参考文献 (21)一.任务书一、基础实训项目一:变频器对电机的运行控制一)实训目的1、进一步巩固掌握PLC基本指令功能的及其运用方法;2、根据实训设备,熟练掌握PLC的外围I/O设备接线方法;3、掌握异步电动机变频调速原理,熟悉变频器的用法。
二)实训设备PLC主机单元模块、电位器、MM440(或MM420)变频器、个人计算机 PC、PC/PPI 编程电缆。
三)工艺控制要求使用变频器实现异步电动机的可逆调速控制,即可以电动机可正反向运行、调速和点动功能。
晚会彩灯实验报告范文

晚会彩灯实验报告范文1. 引言晚会彩灯是一种以灯光为主要表现手段的舞台艺术形式,它通过不同颜色、亮度和灯光变化的组合,营造出不同的氛围和效果,使观众在音乐和表演的共同作用下得到视觉上的全面享受。
本实验旨在探究不同灯光组合对晚会效果的影响,并通过实验数据分析,提出一些改进建议。
2. 实验设计本次实验使用了三种不同颜色的彩灯:红色、绿色和蓝色。
每种颜色的彩灯都可以通过调节亮度来改变灯光的强弱。
研究人员设置了五种不同的灯光组合,并通过观察和调查来评估各组合的效果。
灯光组合如下:1. 组合A:红色亮度最高,绿色亮度中等,蓝色亮度最低。
2. 组合B:红色亮度最高,绿色亮度最低,蓝色亮度最低。
3. 组合C:红色亮度最高,绿色亮度最高,蓝色亮度最低。
4. 组合D:红色亮度最低,绿色亮度最低,蓝色亮度最高。
5. 组合E:红色亮度最低,绿色亮度最高,蓝色亮度最高。
3. 实验过程实验在一间较为宽敞的空间内进行,为了模拟真实晚会场景,研究人员使用了黑布将实验室封闭,并降低了室内的自然光线。
在每种灯光组合下,实验人员播放了相同的音乐和舞蹈表演。
为了评估各组合的效果,每个实验组有50名观众参与,观众需要在每个组合结束后填写一个调查问卷。
调查问卷包括以下几个方面的评价:整体灯光效果、氛围营造、视觉冲击和舒适度。
每个方面均采用1至5的评分等级,其中1表示非常差,5表示非常好。
4. 结果和分析实验结果表明,不同的灯光组合对观众的体验产生了显著的影响。
下面是每个组合的平均评分表格:灯光组合整体灯光效果氛围营造视觉冲击舒适度-A 4.2 3.8 4.0 3.9B 3.6 3.4 3.3 3.7C 4.7 4.6 4.3 4.5D 2.9 3.1 2.8 3.2E 4.5 4.8 4.6 4.3从上表可以看出,组合C获得了最高的评分,其整体灯光效果得分为4.7,舒适度得分为4.5,明显好于其他组合。
组合B得到的评分相对较低,其整体灯光效果得分为3.6,视觉冲击得分为3.3。
大班科学教案自制霓虹灯教案及教学反思

大班科学教案自制霓虹灯教案及教学反思一、教学背景和目标1.1 教学背景本教案适用于大班科学教学,主要应用于幼儿对电的初步认识。
通过教学活动,幼儿可以了解电能的概念,了解电的原理和构成。
同时,通过DIY自制霓虹灯的制作活动,幼儿掌握DIY的态度和实际操作技能。
1.2 教学目标•让幼儿了解电能的核心内容,如电的概念、电的原理、电的构成等;•培养幼儿的实践能力和DIY能力;•让幼儿感受知识的奇妙和创造力的乐趣;•注重培养幼儿的敬畏和关爱环境的意识和环保意识。
二、教学内容和方法2.1 教学内容电是我们日常生活中非常重要的一种能量形式,几乎所有的电器和电子设备都需要电。
通过本节科学课程,我们将了解电和电能,了解电的概念和原理。
接着,通过DIY自制霓虹灯的制作活动,学生可以了解霓虹灯的原理和制作技巧。
2.2 教学方法2.2.1 示范教学法在进行实验前,老师可以通过一些小实验来引导幼儿体验电的现象,并围绕幼儿时常接触到的电器和电子设备进行讲解。
2.2.2 合作探究法自制霓虹灯的制作活动需要学生们之间的互帮互助,鼓励他们在制作过程中合作完成。
2.2.3 讨论交流法在实践中,鼓励幼儿就电的概念、霓虹灯的原理等问题进行讨论交流。
这样可以让他们进一步理解和掌握知识点。
三、具体教学步骤3.1 预备知识在进行本次实验的时候,需要准备关于电的基础知识。
3.2 实验步骤3.2.1 制作材料•6V电动机•邮票框•同花顺统一券商-hs7211-t•节拍器•距离传感器•联系管•钎子•电线•笔刀•剪刀•胶带•色彩膜3.2.2 实验过程1.首先,将邮票框拆开。
2.将同花顺统一券商-hs7211-t和节拍器与电动机相连。
3.利用节拍器调整电机的转速。
4.接着,将贴片电容和极性电阻装配在距离传感器上,使其工作状态良好。
5.利用电线将距离传感器与联络管相连。
6.在霓虹灯的制作上,可以为形状和颜色切割色彩膜并粘贴在灯管上,并将钎子插入联络管。
霓虹灯课程设计

霓虹灯课程设计一、课程目标知识目标:1. 让学生掌握霓虹灯的基本原理,理解其工作过程及科学原理。
2. 学会使用相关的物理及电子知识,解释霓虹灯的颜色产生机制。
3. 掌握霓虹灯设计的基本元素,如电路图的理解和设计。
技能目标:1. 培养学生动手操作的能力,能够自主搭建简单的霓虹灯电路。
2. 提高学生的问题解决能力,能够诊断并修复霓虹灯电路中的常见故障。
3. 培养学生的创新设计思维,能够设计并呈现独特的霓虹灯图案。
情感态度价值观目标:1. 激发学生对科学探索的兴趣,培养其主动学习和探究的精神。
2. 通过团队合作完成项目,增强学生的集体荣誉感和团队合作意识。
3. 培养学生对于物理科技在现实生活应用中的认识,增强其社会责任感和创新意识。
课程性质分析:本课程属于物理电学的实践应用课程,结合实际动手操作,强化理论知识与实践技能的结合。
学生特点分析:考虑到学生年级特点,已有一定的物理基础和动手操作能力,对新鲜事物充满好奇,喜欢探索和实践。
教学要求分析:注重理论与实践相结合,强调学生在学习过程中的主动性和创造性,注重培养学生的实际操作能力和团队合作能力。
二、教学内容1. 理论知识:- 霓虹灯工作原理:介绍稀有气体的电离现象,电子与气体原子碰撞发光的原理。
- 颜色产生机制:讲解不同稀有气体产生的颜色及其电子能级跃迁相关知识。
- 电路设计基础:学习简单的电路图识别,理解霓虹灯电路的构成。
2. 实践操作:- 搭建霓虹灯电路:学生分组进行实际操作,搭建并测试基本的霓虹灯电路。
- 故障排查与修复:引入常见故障,指导学生诊断并修复问题,提高问题解决能力。
- 创新设计:鼓励学生设计个性化霓虹灯图案,运用所学知识进行创新实践。
3. 教学大纲:- 第一阶段(1课时):介绍霓虹灯基本原理,学习稀有气体发光机制。
- 第二阶段(1课时):讲解电路设计基础,认识霓虹灯电路图。
- 第三阶段(2课时):分组实践,搭建霓虹灯电路,进行故障排查与修复。
彩灯实验报告

实验报告课程名称可编程逻辑器件实验项目名称彩灯学生班级学生姓名2013年 04 月 21 日目录一、实验目的和要求二、实验方案设计及其原理三、硬件要求四、源程序和下载结果五、实验结果分析六、实验收获和体验一、实验目的和要求熟悉分频的分频原理,并将之进行多次分频,将50MHZ的频率信号分为小频率输入,实现彩灯的闪烁快慢,进一步了解可编程程序的编写,熟用可编程的基本语句,能够独立思考并且完成一个的方案。
要求:1、要有4种花型变化2、多种花型可以自动变换、循环往复3、彩灯的快慢可以选择4、具有清零的开关二、实验方案设计及其原理经过对问题的分析和初步的整体思考,拟定以下方案:先将信号进行多次分频,以便使得彩灯的节奏可以选择,再用两个开关控制得到的四个频率,接着进行花型选择.第一次分出的频率为20HZ,第二次为10HZ,第三次为5HZ,第四次为0.5HZ;花型变换第一种:红灯先逐一亮起,接着是黄灯,最后是绿灯。
第二种:先依次亮前两盏红灯、黄灯、绿灯,再依次亮后面的两盏。
第三种:依次亮起四盏红灯、黄灯、绿灯。
第四种:亮起首尾的两盏红灯,中间的两盏黄灯,首尾的两盏绿灯,再中间的两盏红灯,首尾的两盏黄灯,中间的两盏绿灯。
三、硬件要求主芯片EPM240T100C5,3个开关,12个具有红黄绿的灯。
四、源程序和下载结果1.源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity caideng isport(rst,clk:in std_logic;speed:in std_logic_vector(0 to 1);r:out std_logic_vector(0 to 3);red,y,g:out std_logic);end;architecture one of caideng issignal d:integer range 0 to 26;signal clk1,clk2,clk3,clk4:std_logic; signal w:std_logic;beginprocess(clk)variable cnt1:integer range 0 to 1000; variable cnt2:integer range 0 to 1250; beginif clk'event and clk='1' thenif cnt1=1000 thencnt1:=0;if cnt2=1250 thencnt2:=0;clk1<=not clk1;elsecnt2:=cnt2+1;end if;elsecnt1:=cnt1+1;end if;end if;end process;process(clk1)variable cnt1:integer range 0 to 1; beginif clk1'event and clk1='1' then if cnt1=1 thencnt1:=0;clk2<=not clk2;elsecnt1:=cnt1+1;end if;end if;end process;process(clk2)variable cnt1:integer range 0 to 1; beginif clk2'event and clk2='1' then if cnt1=1 thencnt1:=0;clk3<=not clk3;elsecnt1:=cnt1+1;end if;end if;end process;process(clk3)variable cnt1:integer range 0 to 5; beginif clk3'event and clk3='1' thenif cnt1=5 thencnt1:=0;clk4<=not clk4;elsecnt1:=cnt1+1;end if;end if;end process;process(speed)begincase speed iswhen "00"=>w<=clk1;when "01"=>w<=clk2;when "10"=>w<=clk3;when "11"=>w<=clk4;end case;end process;process(w)beginif w'event and w='1' thenif d=26 thend<=0;else d<=d+1;end if;end if;end process;process(rst,d)variable r1:std_logic_vector(0 to 3); variable red1,y1,g1:std_logic; beginif rst='0' thenr1:="1111";red1:='0';y1:='0';g1:='0';elsecase d iswhen 0=>r1:="0111";red1:='1';y1:='0';g1:='0';when 1=>r1:="1011";red1:='1';y1:='0';g1:='0';when 2=>r1:="1101";red1:='1';y1:='0';g1:='0';when 3=>r1:="1110";red1:='1';y1:='0';g1:='0';when 4=>r1:="0111";red1:='0';y1:='1';g1:='0';when 5=>r1:="1011";red1:='0';y1:='1';g1:='0';when 6=>r1:="1101";red1:='0';y1:='1';g1:='0';when 7=>r1:="1110";red1:='0';y1:='1';g1:='0';when 8=>r1:="0111";red1:='0';y1:='0';g1:='1';when 9=>r1:="1011";red1:='0';y1:='0';g1:='1';when 10=>r1:="1101";red1:='0';y1:='0';g1:='1';when 11=>r1:="1110";red1:='0';y1:='0';g1:='1'; --一种亮法when 12=>r1:="0011";red1:='1';y1:='0';g1:='0';when 13=>r1:="0011";red1:='0';y1:='1';g1:='0';when 14=>r1:="0011";red1:='0';y1:='0';g1:='1';when 15=>r1:="1100";red1:='0';y1:='0';g1:='1';when 16=>r1:="1100";red1:='0';y1:='1';g1:='0';when 17=>r1:="1100";red1:='1';y1:='0';g1:='0'; --二种亮法when 18=>r1:="0000";red1:='1';y1:='0';g1:='0';when 19=>r1:="0000";red1:='0';y1:='1';g1:='0';when 20=>r1:="0000";red1:='0';y1:='0';g1:='1'; --三种亮法when 21=>r1:="0110";red1:='1';y1:='0';g1:='0';when 22=>r1:="1001";red1:='0';y1:='1';g1:='0';when 23=>r1:="0110";red1:='0';y1:='0';g1:='1';when 24=>r1:="1001";red1:='1';y1:='0';g1:='0';when 25=>r1:="0110";red1:='0';y1:='1';g1:='0';when 26=>r1:="1001";red1:='0';y1:='0';g1:='1'; --四种亮法end case;end if;r<=r1;red<=red1;y<=y1;g<=g1;end process;end;2.下载结果灯按照前面所示的花型进行亮着,并通过其中两个控制频率大小的开关(speed)可以进行控制灯闪烁的快慢,清零信号端(rst)可以执行所需要的要求,即当rst为1时,灯会按照所编写程序的要求运作。
8路流水彩灯实验报告报告

重庆交通大学计算机与信息学院数电设计实验报告实验项目名称:8路彩灯能演示三种花型实验项目性质:设计性实验实验所属课程:数字电子技术基础实验室(中心):软件与通信实验中心班级:学生:学号:指导教师:实验完成时间:年月日教师评阅意见:签名:年月日实验成绩:一、设计题目:八路彩灯演示三种花型二、同组成员:许梦婷三、设计思路及方案设计:(一)、设计要求:1、八路彩灯能演示三种花型(花型自拟);2、要求用PROTEL画出原理图和PCB板,并能够用软件实现仿真(如multisim等);3、彩灯用发光二极管LED模拟,最后用万能板焊接成产品(选作:彩灯实现快慢两种节拍的变换)。
(二)、设计思路:提供的元件有74LS160---十进制计数器,74LS194---双向移位寄存器,555定时器,非门和与非门等。
根据所提供的元件,首先设计出自己的彩灯花型(按如下原理图中发光二极管LED的放置顺序为准说明):第一种花型:二极管4-1号依次发光,8-5号依次发光的同时4-1号又依次熄灭,之后4-1号又依次发光,此时1-8号都处于发光状态,最后从中间的1号和5号开始依次向两边熄灭,即1号向4号逐熄,5号向8号逐熄,花型完成;第二种花型:二极管1-4号依次发光,5-8号依次发光的同时1-4号又依次熄灭,之后1-4号又依次发光,此时1-8号都处于发光状态,最后从两边的4号和8号开始依次向中间熄灭,即4号向1号逐熄,8号向5号逐熄,花型完成;第三种花型:二极管1-4号依次发光,5-8号保持熄灭状态不变,1-4号又依次熄灭,花型完成。
脉冲计数00010000至00011001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,二极管5-8号不发光,74LS194(2)中SL=SR=1,S0=0,即工作在右移1状态,使得二极管4-1号依次发光;脉冲计数00100000至00101001过程中,74LS194(1)中SL=SR=1,S0=1,即工作在左移1状态,二极管8-5号依次发光;74LS194(2)中SL=SR=0,S0=0,即工作在右移0状态,使得二极管4-1号依次熄灭;脉冲计数00110000至00111001过程中,74LS194(1)中的SL=SR=1,S0=1,即工作在左移1状态,使得二极管4-1号依次发光;74LS194(2)中SL=SR=1,S0=0,即工作在右移1状态,使得5-8号保持发光不变;脉冲计数01000000至01001001过程中,74LS194(1)中SL=SR=0,S0=0,即工作在右移0状态,使得5-8号依次熄灭;74LS194(2)中SL=SR=0,S0=1,即工作在左移0状态,使得1-4号依次熄灭,完成第一种花型;脉冲计数01010000至01011001过程中,74LS194(1)中SL=SR=0,S0=0,即工作在右移0状态,二极管8-5号不发光,74LS194(2)中SL=SR=1,S0=1,即工作在左移1状态,使得二极管1-4号依次发光;脉冲计数01100000至01101001过程中,74LS194(1)中SL=SR=1,S0=0,即工作在右移1状态,二极管5-8号依次发光;74LS194(2)中SL=SR=0,S0=1,即工作在左移0状态,使得二极管1-4号依次熄灭;脉冲计数01110000至01111001过程中,74LS194(1)中的SL=SR=1,S0=0,即工作在右移1状态,使得二极管8-5号保持发光;74LS194(2)中SL=SR=1,S0=1,即工作在左移1状态,使得1-4号依次发光;脉冲计数10000000至10001001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,使得8-5号依次熄灭;74LS194(2)中SL=SR=0,S0=1,即工作在左移0状态,使得4-1号依次熄灭,完成第二种花型;脉冲计数10010000至10011001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,使得5-8保持熄灭状态;74LS194(2)中SL=SR=1,S0=0,即工作在右移1状态,使得4-1依次发光;脉冲计数00000000至00001001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,使得5-8保持熄灭状态;74LS194(2)中SL=SR=0,S0=0,即工作在右移0状态,使得4-1依次熄灭,完成第三种花型。
循环彩灯实验报告

循环彩灯实验报告循环彩灯实验报告引言:灯光在我们生活中扮演着重要的角色,无论是室内照明还是舞台表演,灯光的运用都能够给人们带来不同的感受和体验。
在这个实验中,我们将探索循环彩灯的原理和制作方法,以及其在不同场景中的应用。
一、实验目的本实验的目的是通过制作循环彩灯来理解电路的原理,并了解灯光的运作机制。
同时,通过实践操作,培养我们的动手能力和创造力。
二、实验材料1. 彩灯:红、绿、蓝三种颜色的LED灯各3个2. 电阻:100欧姆、220欧姆、330欧姆各1个3. 电容:100μF、220μF、330μF各1个4. 电池:9V电池1个5. 面包板:1块6. 连接线:若干根三、实验步骤1. 将面包板连接到电池的正负极上,确保电路能够正常通电。
2. 将三种颜色的LED灯分别插入面包板上的不同位置,注意连接的极性。
3. 在每个LED灯的正极和负极之间分别插入不同电阻和电容,形成不同的电路。
4. 打开电池,观察LED灯的亮灭情况和颜色变化。
四、实验结果与分析通过实验观察,我们可以发现以下现象:1. 当电路中只有一个LED灯时,灯光亮起来,并且颜色与LED本身的颜色一致。
2. 当电路中加入电阻时,LED灯的亮度会有所变化,电阻越大,亮度越低。
3. 当电路中加入电容时,LED灯的亮度会有所变化,电容越大,亮度越高。
这些现象的发生可以通过以下原理解释:1. LED灯是一种半导体材料,当通过正向电流时,电子和空穴在半导体材料内复合,释放出能量,从而发出光线。
2. 电阻的加入会影响电流的流动,使得通过LED灯的电流减小,从而导致亮度的下降。
3. 电容的加入会使得电路中的电荷储存和释放更加平滑,从而使得LED灯的亮度更加稳定。
五、实验应用循环彩灯的制作不仅仅是为了理解电路的原理,还可以应用于各种场景中,例如:1. 舞台表演:通过控制循环彩灯的亮灭和颜色变化,可以创造出各种炫目的灯光效果,增加表演的视觉冲击力。
2. 节日庆典:在节日庆典活动中,循环彩灯可以装饰场地,营造出欢乐喜庆的氛围。
霓虹灯实训报告个人总结

一、前言随着科技的不断发展,霓虹灯作为一种具有丰富色彩和独特艺术风格的照明设备,在广告、装饰、照明等领域有着广泛的应用。
为了更好地掌握霓虹灯的设计与制作技能,提升自己的实践能力,我参加了为期两周的霓虹灯实训课程。
现将实训过程及收获总结如下。
二、实训内容1. 霓虹灯基础知识实训课程首先介绍了霓虹灯的基本原理、材料、设备以及设计要求。
通过学习,我对霓虹灯的发光原理、管材、电极、镇流器等有了全面的认识。
2. 霓虹灯设计实训课程要求我们根据实际需求,设计一款具有创意的霓虹灯作品。
在设计中,我们学习了如何运用色彩、形状、构图等手法,使霓虹灯作品更具观赏性和实用性。
3. 霓虹灯制作实训课程中,我们亲手制作了一款霓虹灯作品。
从管材切割、焊接、组装到调试,每个环节都要求我们严格按照规范操作。
在制作过程中,我们学会了如何处理焊接、接线、绝缘等问题。
4. 霓虹灯调试与维护实训课程最后,我们学习了霓虹灯的调试与维护方法。
掌握了如何调整亮度、颜色、频率等参数,以确保霓虹灯的正常运行。
三、实训收获1. 理论知识与实践相结合通过实训,我深刻体会到理论知识与实践操作的重要性。
在实训过程中,我不仅巩固了所学知识,还学会了如何将理论知识运用到实际工作中。
2. 提升动手能力在实训过程中,我亲手制作了一款霓虹灯作品,锻炼了自己的动手能力。
从管材切割、焊接到组装,每个环节都让我学会了如何处理实际问题。
3. 培养团队协作精神实训课程要求我们分组完成作品,这让我明白了团队协作的重要性。
在团队合作中,我们互相学习、互相帮助,共同完成了作品。
4. 增强创新意识在设计霓虹灯作品时,我充分发挥了自己的创意,力求设计出一款具有独特风格的霓虹灯。
这使我更加注重创新,勇于挑战自己。
5. 增强审美能力实训课程让我对霓虹灯有了更深入的了解,提高了自己的审美能力。
在今后的工作中,我会更加注重作品的视觉效果,为客户提供更优质的服务。
四、实训反思1. 认识到自己的不足在实训过程中,我发现自己在理论知识、实践操作等方面还存在一些不足。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
引言.................................. 11、设计的意义与要求21、1设计的意义 (2)1、2设计的要求 (2)2、方案设计 (3)2、1设计思路 (3)2、2方案设计 (3)2、2、1方案一设计电路图 (3)2、2、2方案二设计 (4)2、3方案比较 (5)3、部分电路设计 (6)3、1四十进制设计 (6)3、2摇摆状态 (7)3、3暗点循环 (8)3、4 逐个点亮逐个熄灭 (8)4、调试与检测 (10)4、1调试中故障及解决办法 (10)4、2调试与运行结果 (10)5、仿真操作步骤及使用说明 (11)结束语 (12)参考文献 (13)本科生课程设计成绩评定表 (14)引言本次课程设计,要求运用数字电路设计一个三种图案的霓虹灯控制器。
初始条件:运用所学的模拟电路和数字电路等知识;用到的元件:实验板、电源、连接导线、74系列芯片、555芯片等。
要求完成的任务:1、有4只彩灯,红-绿-蓝-黄,试设计控制器,要求彩灯能实现如下追逐图案,彩灯控制器的三种图案及其状态转换如下所示:2、摇摆状态0101←→1010,重复6次。
3、暗点循环0111→1011→1101→1110→0111→这样重复循环3次。
4、逐个点亮,逐个熄灭,0000→1000→1100→1110→1111→0111→0011→0001→0000→这样重复循环2次。
5、霓虹灯控制工作状态按照上述2至4步自动重复循环。
时间间隔为1秒。
6、严格按照课程设计说明书要求撰写课程设计说明书。
1、设计的意义与要求1、1设计的意义现实生活中,霓虹灯随处可见,运用所学得的知识设计出一盏霓虹灯是自动化专业学生必备的基本能力,作为武汉理工大学自动化专业一名大学生,我们应该具备这种基本的设计能力,只有把自己学到的知识运用到生产生活的实践之中,我们学到的知识才真变得有用。
由于专业的特殊性,要求我们不能只做到纸上谈兵理论只有运用到实践中才有它的价值,本次电工电子综合课程设计正是培养学生这种能力,三种图案的霓虹灯的设计联系生产生活实践,对学生的设计提出要求,这不仅是对我们动手能力的锻炼,更是对我们独立思考、分析能力的培养,同时霓虹灯设计在实际生活中十分常见,应用非常广泛,有很大的现实意义。
1、2设计的要求现有4只彩灯,红-绿-蓝-黄,运用所学的模拟电路和数字电路等知识,试设计控制器,要求彩灯能实现如下追逐图案,彩灯控制器的三种图案及其状态转换如下所示:摇摆状态0101←→1010,重复6次;暗点循环0111→1011→1101→1110→0111→这样重复循环3次;逐个点亮,逐个熄灭,0000→1000→1100→1110→1111→0111→0011→0001→0000→这样重复循环2次;霓虹灯控制工作状态按照上述2至4步自动重复循环。
时间间隔为1秒。
2、方案设计2、1设计思路首先,分别设计出各个图案的转换方案,即能够单独的实现摇摆状态,暗点循环和逐个点亮与熄灭的状态。
然后设计一个四十进制计数器,前12秒是摇摆状态,13到24秒暗点循环,最后16秒逐个点亮和熄灭。
最后通过逻辑电路把三部分组合起来实现三种图案的霓虹灯设计要求。
2、2方案设计2、2、1方案一设计电路图方案1总电路图(图1)第一步,先用555定时器制作一个1HZ的脉冲信号。
第二步,设计分别实现三种图案的电路图,摇摆状态与暗点循环用74LS90和74LS139即可单独分别实现,逐个点亮逐个熄灭用74LS194制作一个4位扭环形计数器即可。
第三步,用两个74LS90制作了一个四分频加一个十进制,相当于一个四十进制。
通过设计要求可以分析出摇摆状态、暗点循环、逐个点亮逐个熄灭各占了12、12、16,也就相当于3:3:4,用一个四分频加一个十进制就可以实现前12秒使摇摆状态进行正常工作,13到24秒使暗点循环正常工作,最后16秒使最后一个状态正常工作,即将十进制计数器输出端通过逻辑电路接分别到L1、L2、L3,使L1:0、1、2时输出为1其余状态为0,L2::3、4、5输出为1其余状态为0,L3:6,、7、8、9输出为1其余状态为0,并将L1,L2接上非门分别接到其对应状态的74LS139的使能端,L3直接接到逐个点亮逐个熄灭的74LS194的清零端。
最后,经过分析和计算将三种图案状态的输出端和使能端分别通过逻辑电路接到四盏灯上,使摇摆状态、暗点循环、逐个点亮逐个熄灭能够有序的结合并循环起来,从而达到实验要求,实现电路功能。
2、2、2方案二设计方案2总电路图(图2)小组电路也是分别设计各个模块然后由定时器电路控制分别显示。
小组电路的片选信号产生,是组合逻辑门电路实现。
它的输入是定时器信号,输出是三个片选信号。
对于设计要求的摇摆图案(0101←→1010)和暗点循环图案(0111→1011→1101→1110→0111),小组方案采用异步二-五-十进制加法计数器74LS90和2线-4线译码器74LS139芯片来实现;而对于第三种逐个点亮和逐个熄灭的图案(0000→1000→1100→1110→1111→0111→0011→0001→0000)则采用具有移位寄存功能的74LS194芯片来实现;如果采用74系列数字芯片在面包板上用导线连接完成电路,整个电路的布线将会比较复杂,而且调试和改进也较困难。
经小组讨论,在数电课本介绍的QuartusII的开发环境下,在FPGA片实现小组电路。
2、3方案比较方案1个人方案和方案2小组方案相互比较,小组方案运用了更少的逻辑门芯片,电路仿真也比较稳定;在硬件实现上,采用FPGA FPGA实现,开阔了思路,减轻了制作难度,也更适合当前流行的设计方法,不过FPGA的价格较高,在实际应用中性价比偏低。
他还用了不太常用的芯片74LS244,对于初学者来说,这个芯片的功能运用不熟练,容易出现错误;个人方案运用了稍少一些芯片,缺点是所使用的逻辑门比较多,在电路连接时较容易出错。
.................3、部分电路设计3、1四十进制设计如图3所示,先用74LS190制作一个四进制和一个十进制,然后将Q1接出接到十进制的CKA 端,然后通过卡诺图分析得到L1、L2、L3的逻辑表达式,即得到L1在前12秒为1其余为0,L2在13到24秒为1其余为0,L3在最后16秒为1其余为0的结果。
74LS90引脚图及功能表:图3CKA 14Q012CKB 1Q19Q28Q311R0(1)2R0(2)3R9(1)6R9(2)7U874LS90CKA 14Q012CKB 1Q19Q28Q311R0(1)2R0(2)3R9(1)6R9(2)7U974LS9056U5:C74LS041312U5:D74LS041110U5:E74LS0498U5:F74LS04123U10:A 74LS32456U10:B74LS329108U10:C 74LS32121312U11:A74HC113456U11:B74HC11910118U11:C74HC119108U7:C74LS08121311U7:D74LS08R4DC 7Q 3TR 2TH 6CV5U17555R140kR217KC118uFC210nF图4 表13、2摇摆状态如图4所示,用74LS90制作一个四进制计数器,将Q0、Q1分别接A 、B ,通过74LS139输出,然后将Y0、Y2和Y1、Y3分别接入两个与门后输出,在分别将其输出接到D1、D3和D2、D4,在脉冲信号作用下,便能实现摇摆功能。
例如:AB 输入00时,Y3Y2Y1Y0输出1110,灯D1、D3灭,D2、D4亮。
74LS139引脚图及功能图:A 2Y04B 3Y15Y26E 1Y37U1:A74LS139D1LED-REDD2LED-REDD3LED-REDD4LED-REDU2(CKA)CKA 14Q012CKB1Q19Q28Q311R0(1)2R0(2)3R9(1)6R9(2)7U274LS90123U3:A74LS08456U3:B74LS08图6表2图53、3暗点循环图7如图5所示,用74LS90制作一个四进制计数器,Q0、Q1接到A、B上,再将Y0、Y1、Y2、Y3分别接到D1、D2、D3、D4上,在脉冲信号作用下即能实现暗点循环的功能。
3、4 逐个点亮逐个熄灭图8如图6所示,用74LS194设计4位扭环形计数器,在脉冲信号作用下,便能够实现逐个点亮逐个熄灭的循环状态。
74LS194的引脚图及功能表:图9 表33、5时钟脉冲信号的制作图10如图8所示,是用555定时器设计的多谐振荡电路,先固定R1、R2、C1的值分别为5k、2.5k、0.01Uf,又因为要设计一个频率为1HZ的脉冲信号,所以f=1HZ,再利用公式f=1.43/(R1+2R2)C求得电容C2的值为0.143mF,根据以上求解设定各个参数,555定时器的输出端Q就会输出频率为1HZ的脉冲信号。
4、调试与检测4、1调试中故障及解决办法实验过程不是一帆风顺,出现的最大的一个问题就是在电路仿真是电路在前二十四秒都运行正常且满足题意,可在二十四秒以后不能实现二极管的逐个点亮逐个熄灭,最初以为是电路设计出现了问题,认真地分析之后确定实验设计没有问题,问题一定出在线路连接上,在同学的帮助下,经过认真仔细的检查,最后发现在逐个点亮逐个熄灭模块漏画了一条线。
把漏画的线连上后在进行调试,电路没有故障且满足实验要求。
4、2调试与运行结果在Protues软件下,点击调试按钮。
仿真电路开始工作。
运行结果:首先,开始运行时,从左往右数第二盏和第四盏同时点亮,第一盏灯和第三盏灯灭,1秒后,第一盏灯和第三盏灯同时点亮,第二盏和第四盏同时熄灭,此过程重复了6次,时间12秒;然后,左边第一盏灯熄灭,其余三盏灯点亮,过1秒后,左边第二盏灯熄灭,其余三盏灯点亮,依次到第四盏灯熄灭,其余三盏灯点亮,此过程重复3次,时间12秒;最后,四盏灯全灭,每隔1秒,从左往右依次点亮一盏灯,全亮时,从左往右又依次熄灭一盏灯,直至全灭,此过程重复循环2次,时间16秒。
此后,四盏灯便按上述步骤所述自动重复循环,每个周期为40秒。
5、仿真操作步骤及使用说明点击仿真运行按键后,四盏灯将会按照下面的顺序进行亮灭:1为亮,0为暗。
摇摆状态:0101,1010,0101,1010,0101,1010,0101,1010,0101,1010,0101,1010。
暗点循环:0111,1011,1101,1110,0111,1011,1101,1110,0111,1011,1101, 1110。
逐个点亮逐个熄灭:0000,1000,1100,1110,1111,0111,0011,0001,0000,1000,1100,1110,1111,0111,0011,0001。