基于FPGA的频率计的设计【开题报告】

基于FPGA的频率计的设计【开题报告】
基于FPGA的频率计的设计【开题报告】

开题报告

电子信息工程

基于FPGA的频率计的设计

一、课题研究意义及现状

电子计数器(测量频率仪器)于20世纪50年代初期问世,它是出现最早,发展最快的一类数字式仪器。今天的电子计数器与初期相比,面貌已焕然一新。就功能而言,早已冲破了初期只能测量频率的范围,成为一机多能的仪器;就其所采用的元件而言,不但早已晶体管化,并且已经大量采用集成电路,特别是近几年来采用了大规模集成电路,使仪器在小型化,耗电,可靠性各方面都有了很大的改善。目前,电子计数器已经完全取代了模拟式频率测量仪器。电子计数器分为四大类:通用计数器,频率计数器,时间计数器,特种计数器。通用计数器是具有多种测量功能,可以测量频率,周期,多周期平均,时间间隔等等功能,配上传感器,还可以测量长度,位移,重量,压力,温度等等。频率计数器是专门用来测量高频和微波频率的计数器,功能只有测频率和计数,频率范围很宽。时间计数器是以时间测量为基础的计数器,测量的准确度很高。特种计数器具有特种功能[1]。

随着现代科学技术的发展,频率及时间的测量以及它们的控制技术在科学技术各领域,特别是在计量学、电子技术、信息科学、通信、天文和电子仪器等领域占有越来越重要的地位。从国际发展的趋势上看,频率标准的准确度和稳定度提高得非常快,几乎是每隔6至8年就提高一个数量级。本文采用VHDL来设计频率计。VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)诞生于1982年,是由美国国防部开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错。

二、课题研究的主要目标任务和预期目标

主要任务:

本设计一种基于VHDL语言的FPGA技术的数字频率计,用十进制数码管显示被测信号的频率。

主要内容:

设计一个基于可编程逻辑实现的简易数字频率计。

基本要求:

1、建议选用Altera公司的DEII硬件平台,选用QUARTUSII为集成开发环境。

2、要求实现整个系统的设计。

3、对信号发生器输入的信号能显示测得的频率。

4、精确到个位。

预期目标:

⑴学习了解数字频率计的基本原理。

⑵学习掌握了可编程逻辑器件的应用

⑶编程实现一种基于VHDL的数字频率计。

⑷完成一篇应用性论文。

三、课题研究的方法及措施

首先是查阅书籍,了解关于数字频率计设计的一些基本原理,比如VHDL应用原理、数字频率计在软硬件设计等;然后通过收集相关论文,找出最新、最合理的设计方案来设计简易数字频率计。

在闸门信号有效的时间内开启计数器,对输入的波形进行计数,也就是对单位时间内被测信号的周期数进行累计。所以必须设计一个测频控制器(2)在闸门信号有效时间范围内,对输入的信号进行计数。主要是通过计数器的开启,对被测信号在单位时间内的重复的次数进行测量。所以必须设计一个计数器。(3)对所得的数据进行处理,并将其显示。主要显示的方法是将所得数据显示在数码管上。因此必须设计一个锁存器和译码器。

最后写好论文和答辩PTT,进行毕业答辩。

四、课题研究进度计划

毕业设计期限:自2010年10月1日至2011年6月25日。

第一阶段(4周):搜集资料,分析课题,系统总体方案设计,完成开题报告、文献综述。

第二阶段(4周):设计与写论文,硬件电路与软件程序设计,撰写设计报告与论文。

第三阶段(4周):设计作品完善,文论修改。

五、参考文献

[1]王保强,窦文,白红.高精度测频方案设计[J].成都信息工程学院学报,2002,17(2):77~81.

[2]孙华锦.基于VHDL语言的电子设计自动化及其应用[J].西安:西北工业大学,2002.3.

[3]李建忠.单片机原理及应用[M].西安:西安电子科技大学出版社,2002.

[4]唐俊翟,许雷,张群瞻.单片机原理与应用[M].北京:冶金工业出版社,2003.6(7),10~11.

[5]赵明安.用89C51开发的1000MHZ八位数字频率计[J].电子制作,2001,(2):30~31.

[6]公茂法,孙皓,吕常智.简易数字频率计的设计与分析[J].山东矿业学院学报(自然科学版),1999,18(2):44~49.

[7]张国兴.用单片机制作数字频率计[J].电子制作,2005,(2):32.

[8]阎石.数字电子技术基础第四版[M].北京:高等教育出版社,1998.317.

[9]谢志芳.用AT89C51制作八位数字频率计[J].无线电,2003,(6):35~36.

[10]徐煜明,韩雁.单片机原理及接口技术[M].北京:电子工业出版社2005

(6):202~206.

[11]赫建国,刘立新,党剑华.基于单片机的频率计设计[J].西安邮电学院学报,2003,8(3):31~34.

[12]刘雪根.数字频率计的误差分析[J].自动化与仪表,1996,11(3):23~24.

[13]黄秉英等.时间频率的精确测量[M].北京:中国计量出版社,1986.

相关主题
相关文档
最新文档