数字电子技术 教案~项目4

数字电子技术 教案~项目4
数字电子技术 教案~项目4

授课教案

授课内容

授课内容

5、检查电路自启动。因为电路包含所有状态,而且状态是一个从00~01~10~11~00的循环。即电路无论从哪个状态进入循环都可以完成整个循环,因此,可知该电路能够自启动。

No 3

授课内容

2)74193功能表

3)注意异步置0功能的控制端,同步预置数端及加减控制端的使

用。

模拟电子技术基础简明教程(第三版)答案-

习题1-1欲使二极管具有良好的单向导电性,管子的正向电阻和反向电阻分别为大一些好,还是小一些好?答:二极管的正向电阻越小越好,反向电阻越大越好。理想二极管的正向电阻等于零,反向电阻等于无穷大。习题1-2假设一个二极管在50℃时的反向电流为10μA ,试问它在20℃和80℃时的反向电流大约分别为多大?已知温度每升高10℃,反向电流大致增加一倍。解:在20℃时的反向电流约为:3 2 10 1.25A A μμ-?=在80℃时的反向电流约为:321080A A μμ?=

习题1-5欲使稳压管具有良好的稳压特性,它的工作电流I Z 、动态电阻r Z 以及温度系数αU ,是大一些好还是小一些好? 答:动态电阻r Z 愈小,则当稳压管的电流变化时稳压管的电压变化量愈小,稳压性能愈好。 一般来说,对同一个稳压管而言,工作电流I Z 愈大,则其动态内阻愈小,稳压性能也愈好。但应注意不要超过其额定功耗,以免损坏稳压管。 温度系数αU 的绝对值愈小,表示当温度变化时,稳压管的电压变化的百分比愈小,则稳压性能愈好。

100B i A μ=80A μ60A μ40A μ20A μ0A μ0.993 3.22 安全工作区

习题1-11设某三极管在20℃时的反向饱和电流I CBO =1μA , β=30;试估算该管在50℃的I CBO 和穿透电流I CE O 大致等于多少。已知每当温度升高10℃时,I CBO 大约增大一倍,而每当温度升高1℃时,β大约增大1% 。解:20℃时,()131CEO CBO I I A βμ=+=50℃时,8C BO I A μ≈() () ()0 5020 011%3011%301301%39 t t ββ--=+=?+≈?+?=()13200.32CEO CBO I I A mA βμ=+==

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

“模拟电子技术基础”课程教学大纲

“模拟电子技术基础”课程教学大纲 课程名称:模拟电子技术基础 教材信息:《模拟电子电路及技术基础(第三版)》,孙肖子主编 主讲教师:孙肖子(西安电子科技大学电子工程学院副教授) 学时:64学时 一、课程的教学目标与任务 通过本课程教学使学生在已具备线性电路分析的基础上,进一步学习包含有源器件的线性电路和线性分析、计算方法。使学生掌握晶体二极管、稳压管、晶体三极管、场效应管和集成运放等非线性有源器件的工作原理、特性、主要参数及其基本应用电路,掌握各种放大器、比较器、稳压器等电路的组成原理、性能特点、基本分析方法和工程计算及应用技术,获得电子技术和线路方面的基本理论、基本知识和基本技能。培养学生分析问题和解决问题的能力,为以后深入学习电子技术其他相关领域中的内容,以及为电子技术在实际中的应用打下基础。 二、课程具体内容及基本要求 (一)、电子技术的发展与模电课的学习MAP图(2学时) 介绍模拟信号特点和模拟电路用途,电子技术发展简史,本课程主要教学内容,四种放大器模型的结构、特点、用途及增益、输入电阻、输出电阻等主要性能指标,频率特性和反馈的基本概念。 1.基本要求 (1)了解电子技术的发展,本课程主要教学内容,模拟信号特点和模拟电路用途。 (2)熟悉放大器模型和主要性能指标。

(3)了解反馈基本概念和反馈分类。 (二)、集成运算放大器的线性应用基础(8学时) 主要介绍各种理想集成运算应用电路的分析、计算,包括同/反相比例放大、同/反相相加、相减、积/微分、V-I和I-V变换电路和有源滤波等电路的分析、计算,简单介绍集成运放的实际非理想特性对应用电路的影响及实践应用中器件选择的依据和方法。 1.基本要求 (1)了解集成运算放大器的符号、模型、理想运放条件和电压传输特性。 (2)熟悉在理想集成运放条件下,对电路引入深反馈对电路性能的影响,掌握“虚短”、“虚断”和“虚地”概念。 (3)掌握比例放大、相加、相减、积/微分、V-I和I-V变换电路的分析、计算。 (4)了解二阶有源RC低通、高通、带通、带阻和全通滤波器的传递函数、幅频特性及零极点分布,能正确判断电路的滤波特性。 (5)熟悉集成运算放大器的主要技术指标的含义,了解实际集成运放电路的非理想特性对实际应用的限制。 2.重点、难点 重点:各种集成运放应用电路的分析、计算和设计。 难点:有源滤波器的分析、计算和集成运放非理想特性对实际应用的影响,。 (三)、电压比较器、弛张振荡器及模拟开关(4学时) 主要介绍简单比较器、迟滞比较器和弛张振荡器的电路构成、特点、用途、传输特性及主要参数的分析、计算,简单介绍单片集成电压比较器和模拟开关的特点、主要参数和基本应用。

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

最新数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电子技术教案word版

第一章数字逻辑概论 一、实施时间:第 1-2 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:6学时 五.目的要求: (一)教学目的与要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 3、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法(如:真值表、逻辑函数表达式、卡诺图、逻辑电路图、波形图)及其之间的相互转换。 4、掌握基本逻辑运算与、或、非。 5、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 六、主要内容: 1、常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 2、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法及其之间的相互转换。 3、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 七、本章重点和难点: 1、重点:(1)常见的代码(如:8421码、余三码、循环码、余三循环码)。 (2)数制与代码之间的相互转换,二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法。 2、难点:二进制数(包括正、负二进制数)的表示法和补码的运算。 第一节数制与编码 一、实施时间:第 1 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:4学时 五.目的要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 六、主要内容: 1、十进制、二进制、八进制、十六进制及其之间的相互转换; 2、二进制正负数的表示及运算。 3、8421码、余三码、循环码以及数制与代码之间的相互转换。 七、教学重点和难点: 8421码、余三码、循环码以及数制与代码之间的相互转换。

《模拟电子技术基础》教学大纲

《模拟电子技术基础》教学大纲 二、课程内容 (一)课程教学目标 本课程是电类各专业在电子技术方面入门性质的技术基础课,是一门实践性极强的课程。 本课程以分立元件的基本放大电路为基础,以集成电路为主体,通过课堂讲授使学生理解各种基本电路的组成、基本工作原理和基本分析方法及应用;通过课程实验、课程设计等实践环节使学生加深对基本概念的理解,掌握基本电路的设计与调试方法,便学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析和解决问题的能力。(二)基本教学内容 第一章、绪论 教学目的与要求: 了解课程性质、特点、学习方法。了解电子技术的发展及应用。掌握放大电路的模型和 主要性能指标。 教学重点:

放大电路的模型,放大电路的主要性能指标及应用考虑。 教学难点: 放大电路的主要性能指标及应用考虑。 教学内容: 简单介绍本课程的性质、课程特点、课程学习方法等。对电子技术的发展状况作简要介绍,引发学生对本课程学习的积极性。 对放大电路的模型、性能指标及应用做概要介绍。 对教材中第一章内容可不作详细讲解,待讲到相关内容时再作简要讲解。 第二章、集成电路运算放大器 教学目的与要求: 了解集成运放的主要结构,掌握理想运放的模型、特点及利用“虚短”和“虚断”分析理想放大器构成的应用电路。熟练掌握集成运放构成的典型应用电路,包括同相放大、反相放大、加法、减法、微分、积分运算电路和仪用放大器。通过自学和上机环节掌握模拟电路计算机仿真软件-PSPICE。 教学重点: 理想运算放大器的模型、特性。运算放大器构成的典型应用电路。 教学难点: 对理想放大器的理解,“虚短”和“虚断”的理解和正确运用。 教学内容: (1)集成电路运算放大器 了解集成动算放大器的内部构成、集成运算放大器的传输特性。 (2)理想运算放大器 正确理解理想放大器条件下,放大器的电路参数及其物理意义。

(完整word版)数字电子技术基础习题册答案7-11

第7章 时序逻辑电路 【7-1】已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。 (1 )写出电路的状态方程和输出方程。 (2) 分别列出X =0和X =1两种情况下的状态转换表,说明其逻辑功能。 (3) 画出X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。 1J 1K C11J 1K C1Q 1 Q 2 CP X Z 1 图7.1 解: 1.电路的状态方程和输出方程 n 1n 2n 11n 1Q Q Q X Q +=+ n 2 n 11n 2Q Q Q ⊕=+ CP Q Q Z 21= 2 .分别列出X =0和X =1两种情况下的状态转换表,见题表7.1所示。逻辑功能为 当X =0时,为2位二进制减法计数器;当X =1时,为3进制减法计数器。 3.X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形如图7.1(b)所示。 题表7.1 Q Q Z 图7.1(b) 【7-2】电路如图7.2所示,假设初始状态Q a Q b Q c =000。 (1) 写出驱动方程、列出状态转换表、画出完整的状态转换图。 (2) 试分析该电路构成的是几进制的计数器。 Q c 图7.2

解: 1.写出驱动方程 1a a ==K J n c n a b b Q Q K J ?== n b n a c Q Q J = n a c Q K = 2.写出状态方程 n a 1n a Q Q =+ n a n a n a n a n c n a 1n b Q Q Q Q Q Q Q +=+ n c n a n c n b n a 1n b Q Q Q Q Q Q +=+ 3.列出状态转换表见题表7.2,状态转换图如图7.2(b)所示。 图7.2(b) 表7.2状态转换表 CP n a n b c Q Q Q 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 0 0 0 n 4.由FF a 、FF b 和FF c 构成的是六进制的计数器。 【7-3】在二进制异步计数器中,请将正确的进位端或借位端(Q 或Q )填入下表 解: 题表7-3 下降沿触发 由 Q 端引出进位 由Q 端引出借位 触发方式 加法计数器 减法计数器上升沿触发 由Q 端引出进位 由Q 端引出借位 【7-4】电路如图7.4(a)所示,假设初始状态Q 2Q 1Q 0=000。 1. 试分析由FF 1和FF 0构成的是几进制计数器; 2. 说明整个电路为几进制计数器。列出状态转换表,画出完整的状态转换图和CP 作用下的波形图。

数字电子技术基础教材第四章答案

数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1 F AB =;2 F A B =e ;3 F AB = 真值表如下表所示: A B 1 F 2F 3 F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,1 1F =;A=B 时,

21 F =;A

位的进位。 图(d ):1 F AB =;2 F A B =e ;3 F AB = 功能:为一位比较器,AB 时,3 F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 1 00 101 1 02 103 F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1 A A F 0 0 0x 0 1 1x 1 0 2x 1 1 3 x

4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0 Y ,1 Y ,2 Y ,3 Y 的逻辑函数的表达式, 并列出真值表,说明该电路的工作原理。 解:该电路的输入为3 x 2x 1x 0 x ,输出为3 Y 2 Y 1 Y 0 Y 。真值 表如下: 3 x 2 x 1 x x 3 Y 2 Y 1 Y Y M=1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 1 0 1 1 1

数字电路电子教案(打印版)

《数字电路》教案 序言 1.课程性质 《数字电子技术基础》课程是电气信息类专业具入门性质的重要的专业基础课。 2.课程目标 获得适应信息时代的数字电子技术方面的基本理论、基本知识和基本技能。培养分析和解决实际问题的能力,为以后深入学习数字电子技术及其相关学科和专业打好以下两方面的基础: 1、正确分析、设计数字电路,特别是集成电路的基础; 2、为进一步学习设计专用集成电路(ASIC)的基础。 3. 课程研究内容 数字信号传输、变换、产生等。内容涉及相关器件、功能电路及系统。 硬件处理数字信号的电子电路及其逻辑功能 数字电路的分析方法 数字电路的设计方法 各种典型器件在电子系统中的应用

软件系统分析、设计的软件工具——ABEL、VHDL、VerlogHDL、EDA工具软件QuartusII等 4.课程特点与学习方法 (1)课程特点 a、发展快 b、应用广 c、工程实践性强 摩尔定律:集成度按10倍/6年的速度发展。 (2)学习方法 打好基础、关注发展、主动更新、注重实践 a、掌握基本概念、基本电路和基本分析、设计方法 b、能独立的应用所学的知识去分析和解决数字电路的实际问题的能力。 5.主要教材及参考书 阎石主编《数字电子技术基础.》第四版高等教育出版社 蔡惟铮主编《基础电子技术》《集成电子技术》高等教育出版社郑家龙、王小海主编《集成电子技术基础教程》高等教育出版社电子工程手册编委会等编.中外集成电路简明速查手册-TTL、CMOS.电子工业出版社 王金明,杨吉斌编.《数字系统设计与VerliogHDL 》电子工业出

版社 罗杰、谭力编.《数字ASIC设计》讲义 第一章数字逻辑基础 1.1 数字电路与数字信号 1.1.1数字技术的发展及其应用 60~70代- IC技术迅速发展:SSI、MSI、LSI 、VLSI。10万个晶体管/片。 80年代后- ULSI ,1 0 亿个晶体管/片、ASIC 制作技术成熟 90年代后- 97年一片集成电路上有40亿个晶体管。 目前-- 芯片内部的布线细微到亚微米(0.13~0.09 m)量级,微处理器的时钟频率高达3GHz(109Hz) 将来- 高分子材料或生物材料制成密度更高、三维结构电路发展特点: 以电子器件的发展为基础 电子管时代 晶体管时代

相关文档
最新文档