基于VHDL的洗衣机控制器设计

基于VHDL的洗衣机控制器设计
基于VHDL的洗衣机控制器设计

.

数字系统设计与硬件描述语言

期末考试作业

题目:洗衣机控制器的设计

学院:电子信息工程学院

专业:物联网工程

学号:3014204328

姓名:刘涵凯

2016-12-10

一、选题设计描述

1.功能介绍

洗衣机控制器,能够实现开始与暂停、注水,洗涤、排水、脱水和警报提醒的功能,并且可以随时更改洗衣模式。洗衣机提供两种模式:模式1:注水-洗涤-排水-注水-洗涤-排水-脱水;模式2:脱水。洗衣模式决定洗衣时间。默认模式为模式2。

洗衣机界面如下图所示:

运转方式如下图所示:

2.算法简介

总程序描述:总程序通过调用5种模块,在洗衣机控制器输入变化时,立刻转换模式并产生对应输出。当开关关闭时,所有输出为0;暂停时,除显示开关状态的输出外,所有输出为0。

开关开启后,设置洗衣模式,之后按下“开始”即可开始工作。

在洗衣机控制器输入变化时,立刻转换模式并产生对应输出。

电子元器件模型如下图所示:

switch为开关信号,modelselect为开关选择信号,clkin为系统时序脉冲信号,sorp为开始/暂停信号。

waterstate为注水程序的工作状态,washrstate为洗涤程序的工作状态,drainstate为排水程序的工作状态,drystate为脱水程序的工作状态。

alarmout为警报提醒的状态。

switchstate为数码管显示的开关的状态(0/1),spstate为数码管显示的开始/暂停的状态(0/1),state为数码管显示的洗衣机工作状态(0~4),currentmodel为数码管显示的当前模式(0~2),timedecade为数码管显示的剩余时间的十位,timeunit为数码管显示的剩余时间的个位。

下面介绍各模块功能与算法:

1)开关与模式选择模块

a接收开关信息,b接收模式选择信息。

c输出总电路的开关信息(开启洗衣机并且设置完毕电路后,即可准备工作,等待“开始”信号)。

e为开关信息,将输入到数码管中显示。

time1与time2分别代表洗衣时间的十位和个位,将输入到计数器与警报模块中。

y为模式信息,将输入到码管中显示。

2)开始/暂停模块

a接收开关信息,b接收开始/暂停信息,clk接收系统时序脉冲信号。

startorpause输出受开始/暂停信息调控的系统时序脉冲信号。

y为开始/暂停信息,将输入到码管中显示。

3)计数器与警报模块

clk接收受开始/暂停信息调控的系统时序脉冲信号,a接收开关信息,time1和time2分别接收洗衣时间的十位和个位。

alarm输出警报信息;

outtime1和outtime2分别为剩余时间的十位和个位,将输入到数码管中显示,同时将输入到控制模块中。。

在脉冲信号的控制下,剩余时间逐渐减少,当剩余时间为0时,停止减小,并开启警报。

4)控制模块

a接收开关信息,b接收开始/暂停信息,time1和time2分别接收剩余时间的十位和个位。

water、wash、drain、dry分别输出注水、洗涤、排水、脱水的控制信息。

act为模块内部使用的BUFFER量。

控制模块根据剩余时间的多少决定工作状态。如:剩余时间为16-30分钟时洗涤,31-35分钟时注水。则剩余时间33分钟时,water为1,其他控制信息为0;剩余时间21分钟时,wash为1,其他控制信息为0。

5)译码器与数码管显示模块

b接收开关信息,a接收4位二进制数据。

q在数码管上显示字形。

二、程序源代码及说明

程序代码由主程序及5个模块代码组成

1)主程序

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL ;

ENTITY xyj IS

PORT(switch,modelselect,clkin,sorp: IN STD_LOGIC; --电源开关、模式选择、时钟、开始/暂停按键状态的输入

waterstate,washstate,drainstate,drystate,alarmout: OUT STD_LOGIC; --注水程序、洗涤程序、排水程序、脱水程序、警报状态的输出

switchstate,spstate,state,currentmodel,timedecade,timeunit: OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); --工作状态、工作模式、剩余时间的输出END ENTITY xyj;

ARCHITECTURE behave OF xyj IS

COMPONENT model --调用开关与模式选择模块

PORT(a,b: IN STD_LOGIC;

c: OUT STD_LOGIC;

e,time1,time2,y: OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ));

END COMPONENT model;

COMPONENT count --调用计数器与警报模块

PORT(clk,a: IN STD_LOGIC;

time1,time2: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

alarm: OUT STD_LOGIC;

outtime1,outtime2: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END COMPONENT count;

COMPONENT BCD7 --调用译码器与数码管显示模块PORT(b: IN STD_LOGIC;

a: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

q : OUT STD_LOGIC_VECTOR(0 TO 6) );

END COMPONENT BCD7;

COMPONENT startpause --调用开始/暂停模块

PORT(a,b,clk: IN STD_LOGIC;

startorpause: OUT STD_LOGIC;

e: OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ));

END COMPONENT startpause;

COMPONENT control --调用控制模块

PORT(a,b: IN STD_LOGIC;

time1,time2: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

water,wash,drain,dry: OUT STD_LOGIC;

act: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));

END COMPONENT control;

SIGNAL

sig1,sig2,sigBCD7_1,sigBCD7_2,sigBCD7_3,sigBCD7_4,sigBCD7_5,sigBCD7_6:STD _LOGIC_VECTOR(3 DOWNTO 0);

SIGNAL aout,bout:STD_LOGIC;

BEGIN

U1: model PORT MAP(a=>switch,b=>modelselect,c=>aout,y=>sigBCD7_1,time1=>sig1,time2=>s ig2,e=>sigBCD7_5);

U2: startpause PORT MAP(a=>aout,b=>sorp,clk=>clkin,startorpause=>bout,e=>sigBCD7_6);

U3: control PORT MAP(a=>aout,b=>sorp,time1=>sigBCD7_2,time2=>sigBCD7_3,water=>waterst ate,wash=>washstate,drain=>drainstate,dry=>drystate,act=>sigBCD7_4);

U4: count PORT MAP(a=>aout,clk=>bout,time1=>sig1,time2=>sig2,alarm=>alarmout,outtime1= >sigBCD7_2,outtime2=>sigBCD7_3);

U5: BCD7 PORT MAP(b=>aout,a=>sigBCD7_1,q=>currentmodel);

U6: BCD7 PORT MAP(b=>aout,a=>sigBCD7_2,q=>timedecade);

U7: BCD7 PORT MAP(b=>aout,a=>sigBCD7_3,q=>timeunit);

U8: BCD7 PORT MAP(b=>aout,a=>sigBCD7_4,q=>state);

U9: BCD7 PORT MAP(b=>aout,a=>sigBCD7_5,q=>switchstate);

U10: BCD7 PORT MAP(b=>aout,a=>sigBCD7_6,q=>spstate);

END ARCHITECTURE behave;

2)开关与模式选择模块

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY model IS --开关与模式选择模块

PORT(a,b: IN STD_LOGIC; --定义开关和模式选择按键的输入

c: OUT STD_LOGIC; --洗衣机工作开关的输出

e,time1,time2,y: OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 )); --定义所需时间/min,time1为十位,time2为各位

END ENTITY model;

ARCHITECTURE behave OF model IS

BEGIN

PROCESS(a,b)

BEGIN

IF(a='1')THEN --开关开启时执行

CASE b IS

WHEN '1' => y<="0001";time1<="0110";time2<="0000"; --模式1:60分钟

WHEN '0' => y<="0010";time1<="0001";time2<="0000"; --模式2:10分钟

END CASE;

c<='1';e<="0001"; --开关开启且模式选择完毕,开始工作

ELSE y<="0000";time1<="0000";time2<="0000";

c<='0';e<="0000"; --开关关闭时不工作

END IF;

END PROCESS;

END ARCHITECTURE behave;

3)开始/暂停模块

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY startpause IS --开始/暂停模块PORT(a,b,clk: IN STD_LOGIC;

startorpause: OUT STD_LOGIC;

e: OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 )); END ENTITY startpause;

ARCHITECTURE behave OF startpause IS

BEGIN

PROCESS(a,b)

BEGIN

IF(a='1')THEN

CASE b IS

WHEN '1' => startorpause<=clk;e<="0001";

WHEN '0' => startorpause<='0';e<="0000";

END CASE;

ELSIF(a='0')THEN

startorpause<='0';e<="0000";

END IF;

END PROCESS;

END ARCHITECTURE behave;

4)计数器与警报模块

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL ;

ENTITY count IS --计数器与警报模块

PORT(clk,a: IN STD_LOGIC;

time1,time2: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

alarm: OUT STD_LOGIC;

outtime1,outtime2: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END ENTITY count;

ARCHITECTURE behave OF count IS

SIGNAL intime11 : STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";

SIGNAL intime22 : STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";

SIGNAL intime3 : STD_LOGIC_VECTOR(1 DOWNTO 0):="01"; --intime3与intime4联系,实现变量的合理赋值

SIGNAL intime4 : STD_LOGIC_VECTOR(1 DOWNTO 0):="00";

BEGIN

PROCESS(time1) --此段的作用为当模式更改时,令变量重新赋值

BEGIN

IF(time1/="0110")THEN

intime3<="10";

ELSIF(time1/="0001")THEN

intime3<="11";

ELSE intime3<="01";

END IF;

intime11<=time1;

intime22<=time2;

END PROCESS;

PROCESS(clk,intime3,intime4)

VARIABLE intime1,intime2 : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

IF(clk'EVENT AND clk='1')THEN

IF(a='1')THEN

IF(intime3/=intime4)THEN --第一个PROCESS运行时,变量被重新赋值

intime4<=intime3;

intime1:=intime11;

intime2:=intime22;

END IF;

IF(intime2/="0000")THEN

intime2:=intime2-'1';

alarm<='0';

ELSIF(intime1/="0000")THEN

intime2:="1001";

intime1:=intime1-'1';

alarm<='0';

ELSE alarm<='1';

END IF;

outtime1<=intime1;

outtime2<=intime2;

END IF;

END IF;

END PROCESS;

END ARCHITECTURE behave;

5)控制模块

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL ;

ENTITY control IS --控制模块

PORT(a,b: IN STD_LOGIC;

time1,time2: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

water,wash,drain,dry: OUT STD_LOGIC;

act: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END ENTITY control;

ARCHITECTURE behave OF control IS

BEGIN

PROCESS(time1,time2)

BEGIN

IF(a='1')THEN

IF(b='1')THEN

IF(time1&time2>"01010101")THEN

act<="0001"; --注水

ELSIF(time1&time2>"01000000")THEN

act<="0010"; --洗涤

ELSIF(time1&time2>"00110101")THEN

act<="0011"; --排水

ELSIF(time1&time2>"00110000")THEN

act<="0001"; --注水

ELSIF(time1&time2>"00010101")THEN

act<="0010"; --洗涤

ELSIF(time1&time2>"00010000")THEN

act<="0011"; --排水

ELSIF(time1&time2>"00000000")THEN

act<="0100"; --脱水

ELSE act<="0000";

END IF;

ELSE act<="0000";

END IF;

ELSE act<="0000";

END IF;

CASE act IS

WHEN "0001" => water<='1';wash<='0';drain<='0';dry<='0';

WHEN "0010" => water<='0';wash<='1';drain<='0';dry<='0';

WHEN "0011" => water<='0';wash<='0';drain<='1';dry<='0';

WHEN "0100" => water<='0';wash<='0';drain<='0';dry<='1';

WHEN OTHERS => water<='0';wash<='0';drain<='0';dry<='0';

END CASE;

END PROCESS;

END ARCHITECTURE behave;

6)译码器与数码管显示模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY BCD7 IS --译码器与数码管显示模块

PORT(b: IN STD_LOGIC;

a: IN STD_LOGIC_VECTOR(3 DOWNTO 0); --数据输入

q : OUT STD_LOGIC_VECTOR(0 TO 6) ); --7段输出

END BCD7;

ARCHITECTURE behav OF BCD7 IS

BEGIN

PROCESS (a)

BEGIN

IF(b='1')THEN

CASE a(3 DOWNTO 0) IS -- BCD 7段译码表

WHEN "0000" => q<="1111110"; WHEN "0001" => q<="0110000";

WHEN "0010" => q<="1101101"; WHEN "0011" => q<="1111001";

WHEN "0100" => q<="0110011"; WHEN "0101" => q<="1011011";

WHEN "0110" => q<="1011111"; WHEN "0111" => q<="1110000";

WHEN "1000" => q<="1111111"; WHEN "1001" => q<="1111011";

WHEN OTHERS => q<="0000000";

END CASE;

ELSE q<="1111110";

END IF;

END PROCESS;

END behav;

三、仿真结果及分析

首先对每个模块进行仿真:

1)开关与模式选择模块

1、仿真结果表明,只有a为1时,输出变化。但a为1的一瞬间便已有了模式,这不

符合洗衣机的操作流程,所以在之后的模块中写入了控制其输出变化的程序。

2、仿真考虑多种情况,如:a变换时、a为0且b变化时等,输出皆符合所需。2)开始/暂停模块

1、仿真结果表明,只有a为1时,输出变化,产生受b控制的脉冲信号。

2、仿真考虑多种情况,如:a变换时、a为0且b变化时等,输出皆符合所需。3)计数器与警报模块

模块运行完全符合所需,输出了正确的剩余时间与alarm。

4)控制模块

1、对模块在开关、开始/暂停及剩余时间变化下控制的仿真。

2、仿真结果表明,暂停时(b为0),洗衣进程停止,开始时,进程继续;

3、仿真模拟了“暂停-切换模式-开始”的进程,输出符合所需。

5)译码器与数码管显示模块

1、对模块在开关及输入控制下的输出仿真。

全自动洗衣机控制设计

北京工业大学 课程设计说明书 题目:全自动洗衣机控制设计 学院:电子信息与控制工程学院 专业:自动化 学号: 姓名:指导教师:张会清刘红云 成绩: 年月

目录 一.课程设计题目………………………………………——全自动洗衣机控制的设计及组态……………………二.课程设计目的………………………………………——天工组态软件调试与设计……………………………三.课程设计任务……………………………………… 四、课程设计地点及设备……………………………… 五、课程设计整体方案………………………………… 六、系统设计…………………………………………… (一)硬件接线、控制程序设计与调试……………… (二)上位机组态软件设计………………………………… (三)下位机设计与调试…………………………………… .控制要求…………………………………………… 地址表……………………………………………… 接线图……………………………………………… .程序流程图…………………………………………… .梯形图………………………………………………… .设计说明………………………………………………… .调试过程………………………………………………… 七、总结及感想…………………………………………… 八、参考资料………………………………………………

一.课程设计题目——全自动洗衣机控制的设计及组态现在,全自动洗衣机已经进入了千家万户之中,极大的方便了人们的日常生活,提高了人们的生活质量,使人们从那繁重的体力劳动中解脱出来。所谓全自动洗衣机,就是将洗衣的全过程(泡浸洗涤漂洗脱水)预先设定好个程序,洗衣时选择其中一个程序,打开水龙头和启动洗衣机开关后洗衣的全过程就会自动完成,洗衣完成时由扬声器发出响声。本文是基于三菱系列的全自动洗衣机梯形图系统的设计,设计完善的全自动洗衣机控制系统,以满足控制要求,实现洗衣自动化的控制。 二、课程设计目的: 在先修课程《现代电气控制技术》中可编程控制器部分学习与实验的基础上,通过松下系列对全自动洗衣机洗涤过程进行控制的编程设计与调试,进一步熟悉并掌握的工作原理,了解控制对象的工艺流程和技术要求, 运用所学知识进行系统设计,初步掌握控制系统设计的基本方法,培养灵活运用专业知识解决工程技术问题的能力。通过使用天工组态软件,掌握组态设计的方法及调试方面的知识。 三.课程设计任务: .用实现全自动洗衣机运行控制,完成框图及梯形图控制程序的编制,并画出硬件接线图,进行软硬件的联调,并用组态软件进行监控。 .具体动作过程要求如下: ()按下启动按扭及水位选择开关,开始进水,直到高(中、低)水位,然后关水; ()秒后开始洗涤; ()洗涤时,正转秒,停秒,然后反转秒,停秒; ()如此循环次,总共秒后开始排水,排空后脱水秒; ()开始清洗,重复()~(),清洗两遍; ()清洗完成,报警秒并自动停机; ()若按下停车按扭,可手动排水(不脱水)和手动脱水(不计数)。

简易洗衣机控制课程设计

洗衣机控制器设计 一.设计要求 启动—→正转20s —→暂行10s—→反转20s—— (定时未到) —→暂行10 s———(定时到)——→停止 如果定时时间到,则停机并发出音响信号。 (1).采用中小规模集成芯片设计制作一个电子定时器,按照一定的洗涤程序控制电机作正向和反向转动。 (2).电机用2个继电器控制,洗涤定时时间在0—20min内由用户任意设定。(3).用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 (4). 如果定时时间到,则停机并发出音响信号。 (5). 洗涤过程在送入预置时间后即开始运转。 二.方案选择及电路的工作原理 1.方案选择 从课程设计要求来看,要求实现电机的正传、反转、暂停,实际上没有电机给我们接上,这回要用四个LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的

分钟计数器、秒计数器是一定要有的。接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。看起来还不错啊,如果这样想那就嫌早了点,还有一个问题要解决:如何提取时间并使循环电路工作的信号?方案有两种:一是直接从数值上进行提取信号来控制一个可以实现循环的74LS194 来实现;另一种是制作一个二十进制到十进制的循环转化来把这一分钟走完,但是从电路的复杂程度和经济性来说,显然后者太过于复杂,也不利于接线和排故障,虽然难度会大一些、出成果的时间会比别人晚,但是要设计一个真正可以让用户用放心使用的产品,还得这样做。尤其是最后的循环电路用两个194一定可以很容易实现。 2.工作原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。 三.设计的具体实现 设计思路 (1)本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循

新版洗衣机模糊控制matlab仿真.pdf

洗衣机模糊控制仿真 1.模糊控制背景 美国教授查徳(L.A.Zandeh)在1965年首先提出模糊集合的概念,由此打开了模糊数学及其应用的大门。 1974年英国教授马丹尼( E.H.Mamdani)首先将模糊集合理论应用于加热器的控制,创造了模糊控制的基本框架。 1980年,Sugeno开创了日本的首次模糊应用——控制一家富士电子水 净化厂。1983年他又开始研究模糊机器人。 随着模糊控制技术的不断发展,模糊控制逐渐被应用到日用家电产品的控制,例如电饭锅﹑照相机﹑吸尘器﹑洗衣机等。 2.仿真目的 本次仿真的主要目的是设计一个比较合理的洗衣机模糊控制器,它能够根据被洗涤衣物的污泥多少和油脂多少,综合得到洗涤时间,从而达到最佳的洗涤效果。 3.仿真方法 本次仿真借助matlab中集成的模糊控制工具箱,使用图形界面进行模 糊控制器的设计。最后随意给定几组输入,得到输出并作出简单分析。 4.模糊控制器的设计 4.1模糊控制器理论设计方法 ①选择合适的模糊控制器类型; ②确定输入输出变量的实际论域; ③确定e,e,u的模糊集个数及各模糊集的隶属度函数; ④输出隶属度函数选为单点,可使解模糊简单; ⑤设计模糊控制规则集; ⑥选择模糊推理方法; ⑦解模糊方法。

4.2实际设计过程 ①模糊控制器类型:选用两输入单输出模糊控制器,控制器输入为衣物的污泥和油脂,输出为洗涤时间。 ②确定输入输出变量的实际论域:输入为Mud(污泥)和Grease (油脂),设置Range=[0 100](输入变化范围为[0,100]);输出为Time(洗涤时间),Range=[0 60](输出变化范围为[0,60])。对应matlab 中模糊控制模块: ③确定模糊集个数及各模糊集的隶属度函数:将污泥分为3个模糊集:SD (污泥少)MD (污泥中)LD(污泥多);將油脂分为三个模糊集:NG (油脂少)MG (油脂中)LG (油脂多);将洗涤时间非为5个模糊集:VS (很短)S (短)M (中等)L (长)VL (很长)。 输入﹑输出隶属度函数都定为三角形隶属函数。结合④输出隶属度函数选为单点,可使解模糊简单;定义污泥隶属函数如下 50 ) 50() (x x SD 0≤x ≤50 50 x 0≤x ≤50 Mad ) (x MD 50 ) 100(x 50<x ≤100 50 ) 50() (x x LD 50<x ≤100 对应matlab 中隶属度函数仿真图如下:

EDA-洗衣机控制器设计

沈阳理工大学 2010年12 月21日

主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20 秒→暂停10秒→……”,定时到则停止,同时发出提示音。 基本要求: 1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止; 2、若定时到,则停机发出音响信号; 3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始; 4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 一、总体设计思想 1、基本原理 洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。

2、设计框图 二、设计步骤和调试过程 1、总体设计电路 洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。 具体电路如下图所示:

全自动洗衣机的控制系统的设计

全自动洗衣机控制系统的设计 1. 论文(设计)选题的目的和意义 洗衣机是人们日常生活中常见的一种家电,已经成为人们生活中不可缺少的家用电器。在工业生产中应用也十分广泛。但是传统的基于继电器的控制,已经不能满足人们对洗衣机的自动化程度的要求了。洗衣机需要更好地满足人们的需求,必须借助于自动化技术的发展。而随着单片机技术的发展,用单片机来作为控制器,就能很好地满足洗衣机对自动化的要求,并且控制方式灵活多样,控制模式可以根据不同场合的应用而有所不同。自动化技术的飞速发展使得洗衣机由初始的半自动式洗衣机发展到现在的全自动洗衣机,又正在向智能化洗衣机方向发展。 单片机又称微控制器,或称嵌入式控制器。而现在的智能家电无一例外是采用微控制器来实现的,所以家用电器是单片机应用最多的领域之一。它是家用电器实现智能化的心脏和大脑。由于家用电器体积小,故要求其控制器体积更小以便能嵌入其结构之中。而家用电器品种多,功能差异也大,所以又要求其控制器有灵活的控制功能。单片机以微小的体积和编程的灵活性而产生多种控制功能,完全可以满足家用电器的需求。 2. 国内洗衣机现状及其发展趋势 洗衣智能化 相对于传统洗衣机而言,智能洗衣机可以模仿人的感觉,包括思维和判断能力。在您投入衣物后的几秒钟之内,智能洗衣机即可自动判断出衣物的重量,并结合衣物的衣质,为您选择最适合的水位。还可以根据水位和衣物的脏污程度,决定洗涤剂的用量、洗涤时间的长短和洗涤方式。另外,智能洗衣机通过模糊控制电脑操作智能波轮与内桶,产生各种不同方向的水流,像无数只手一样,对污垢、进行分解和扭曲,从而达到洗净衣物、减少缠绕、降低磨损、节约时间和水量的功能,水流方式多样化 目前时常上洗衣机的水流方式也是多样化,如“悬浮”。悬浮即使是改变传统的喷水方向,水流是从桶的底部喷出,巨大的立体水流力量能将衣物“托起”,使

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

全自动洗衣机控制系统设计

全自动洗衣机控制系统 设计 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

东北大学秦皇岛分校自动化工程系自动控制系统课程设计 全自动洗衣机控制系统的设计 专业名称自动化 班级学号 学生姓名 指导教师 设计时间2011.6.27~2011.7.8 东北大学秦皇岛分校自动化工程系 《自动控制系统》课程设计任务书 专业:自动化班级: 姓名: 设计题目:全自动洗衣机控制系统的设计 一、设计实验条件 装有单片机仿真软件的电脑。 二、设计任务 全自动洗衣机控制系统的要求是能实现“正常运行”和“强制停止”两种控制方式。 1.正常运行 “正常运行”方式具体控制要求如下: (1)将水位通过水位选择开关设在合适的位置(高、中、低),按下“启动”按扭,开

始进水,达到设定的水位(高、中、低)后,停止进水; (2)进水停止 2s 后开始洗衣; (3)洗衣时,正转 20s,停 2s,然后反转 20s,停 2s; (4)如此循环共 5 次,总共 220s 后开始排水,排空后脱水 30s;(5)然后再进水,重复(1)~(4)步,如此循环共 3 次; (6)洗衣过程完成,报警 3s 并自动停机。 2.强制停止 “强制停止”方式具体控制要求如下: (1)若按下“停止”按扭,洗衣过程停止,即洗涤电机和脱水桶转、进水电磁阀和排水 电磁阀全部闭合; (2)可用手动排水开关和手动脱水开关进行手动排水和脱水。 三、设计说明书的内容 1、设计题目与设计任务(设计任务书) 2、前言(绪论)(设计的目的、意义等) 3、主体设计部分 4、参考文献 5、结束语 目录

全自动洗衣机模糊控制器设计说明

全自动洗衣机模糊控制器设计 1 简介 洗衣机自问世以来,经过一个多世纪的发展,现正呈现出全自动、多功能、大容量、高智能、省时节能的发展趋势。近年来,电子技术、控制技术、信息技术的不断完善、成熟,为上述发展趋势提供了坚强的技术保障。美国教授查徳(L.A.Zandeh)在1965年首先提出模糊集合的概念,由此打开了模糊数学及其应用的大门。1974年英国教授马丹尼(E.H.Mamdani)首先将模糊集合理论应用于加热器的控制,创造了模糊控制的基本框架。1980年,Sugeno开创了日本的首次模糊控制应用于一家富士电子水净化厂。1983年他又开始研究模糊机器人。随着模糊控制技术的不断发展,模糊控制逐渐被应用到日用家电产品的控制,例如电饭锅﹑照相机﹑吸尘器﹑洗衣机等。模糊控制全自动滚筒洗衣干衣机是通过模糊推理找出最佳洗涤烘干方案,以优化洗涤烘干时间、洗净程度、烘干效果,最终达到提高效率,简化操作,节水节电省时的效果。 2 模糊洗衣机的基本原理 洗衣机的自动控制系统为一多输入多输出系统,输入量为衣质、衣量、脏污程度(即水的浑浊度)、脏污性质(浑浊度变化率);输出量为洗涤剂量、水位、水流、脱水时间、洗涤时间、漂洗方式等。从洗衣机的运行过程可以看出,洗涤剂量、水位、水流、脱水时间都可以通过输入量推理求得,而洗涤时间与漂洗方式为实时控制量,影响其主要因素是被洗物品的脏污程度,这两个量可以用水的浑浊度和浑浊度变化率来表示,油性脏污的浑浊度变化率小,泥性脏污的浑浊度变化率大。实际分析证明:输入与输出之间很难用一定的数学模型来描述,系统的具体条件具有较大的不确定性,其控制过程在很大程度上依赖于操作者的经验,用常规的控制方法难以达到理想的效果。而采用模糊控制技术就能很容易解决问题。因而采用了模糊控制器设计全自动洗衣机。在洗涤衣物的过程中,衣物的多少、面料的软硬、衣物的脏污程度等都是模糊量,所以必须经过大量的实验,总结出人为的洗涤方式,从而形成模糊控制规则。再根据检测系统检测到的信息,判断出衣物多少、面料软硬、脏污程度、脏污性质等,计算出控制量,从而完成注水量、洗涤时间、水流强弱、洗涤方式、脱水时间、排水等一列的设置。根据上述分析和模糊控制技术的基本原理,可以确定洗衣机的模糊控制框如图。

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

全自动洗衣机控制系统设计

分数: 华南理工大学广州学院 课程设计任务书 题目:全自动洗衣机的PLC控制系统设计 课程:PLC技能培训课程设计 专业:自动化 班级: 姓名: 学号:

第一部分任务书

《PLC技能培训》课程设计任务书 一、课程设计目的 编程序控制器(PLC)于20世纪60年代在美国诞生,在我国的发展与应用已有30多年的历史,现在它已经广泛应用于国民经济的各个工业生产领域,成为提高工业装备电气自动化水平的重要设备和强大支柱。因此,PLC技术已成为电气自动化专业技能型人才必不可少的重要技能,《PLC技能培训》课程是《电气设备与PLC》课程实践教学环节,通过实践,可以帮助学生加深对理论知识消化吸收,提高PLC编程技能。 该课程设计的主要目的是通过运用三菱FX3U 系列PLC设计一控制系统,掌握PLC控制系统设计原则、方法、过程和具体设计步骤。通过设计还有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备及控制系统的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 二、PLC应用系统设计的内容和步骤 1.设计原则 (1)系统应最大限度地满足被控设备或生产过程的控制要求。 (2)在满足控制要求的前提下,应力求使系统简单、经济,操作方便。 (3)保证控制系统工作安全可靠。 (4)考虑到生产发展和生产工艺改进,在确定PLC容量时,应适当留有裕量,使系统有扩展余地。 2.设计内容 )拟定控制系统设计的技术条件。1(. (2)确定电气传动控制方案和电动机、电磁阀等执行机构。 (3)选择PLC的型号。 (4)编制PLC输入、输出端子分配表。 (5)绘制输入、输出端子接线图。 (6)根据系统控制要求,用相应的编程语言(常用梯形图)设计程序。 (7)设计操作台、电气柜及非标准电气元件。 (8)编写设计说明书和使用操作说明书。 3.设计主要步骤 (1)分析被控对象的控制要求,确定控制任务. (2)选择和确定用户I/O设备. (3)选择PLC的型号.

简易洗衣机控制电路完美版

武汉理工大学《数字电子技术基础》课程设计说明书 1 Proteus软件简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。 1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发- 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、

全自动洗衣机控制系统设计

分数:华南理工大学广州学院 课程设计任务书 题目:全自动洗衣机的PLC控制系统设计 课程:PLC技能培训课程设计 专业:自动化 班级: 姓名: 学号:

第一部分 任 务 书

《PLC技能培训》课程设计任务书 一、课程设计目的 编程序控制器(PLC)于20世纪60年代在美国诞生,在我国的发展与应用已有30多年的历史,现在它已经广泛应用于国民经济的各个工业生产领域,成为提高工业装备电气自动化水平的重要设备和强大支柱。因此,PLC技术已成为电气自动化专业技能型人才必不可少的重要技能,《PLC技能培训》课程是《电气设备与PLC》课程实践教学环节,通过实践,可以帮助学生加深对理论知识消化吸收,提高PLC编程技能。 该课程设计的主要目的是通过运用三菱FX3U 系列PLC设计一控制系统,掌握PLC 控制系统设计原则、方法、过程和具体设计步骤。通过设计还有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备及控制系统的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 二、PLC应用系统设计的内容和步骤 1.设计原则 (1)系统应最大限度地满足被控设备或生产过程的控制要求。 (2)在满足控制要求的前提下,应力求使系统简单、经济,操作方便。 (3)保证控制系统工作安全可靠。 (4)考虑到生产发展和生产工艺改进,在确定PLC容量时,应适当留有裕量,使系统有扩展余地。 2.设计内容 (1)拟定控制系统设计的技术条件。

基于MATLAB的洗衣机模糊控制设计

基于MATLAB的洗衣机模糊控制设计

基于MATLAB的洗衣机模糊控制设计 摘要:模糊洗衣机是一种智能型的洗衣机,它和传统的洗衣机相比,是一种全新的家用电器。传统的全自动化洗衣机有两种,一种是机械控制式,一种是单片机控制式。无论采用什么方式,它们都需要进行人为的洗涤程序选择,衣质和衣量选择,然后才能投入工作。在本质上讲,这种洗衣机还称不上是全自动的,最多只能称为半自动的。 用单片机控制的模糊洗衣机和传统的洗衣机有很大的区别,它能自动化识别衣质、衣量、自动识别肮脏程度、自动化决定水量、自动投入恰当的洗涤剂,从而全部自动地完成整个洗染过程。由于洗涤程序是经过模糊推理而决定的,有着极高的洗涤效能,从而不但大大提高洗衣机的全自动化程度,也大大提高了洗衣的质量。 用单片机控制的模糊洗衣机能够说是真正的全自动洗衣机。在整个控制过程中,单片机和模糊控制软件起了决定作用。 关键词:洗衣机、智能型、模糊控制、自动化

1 课题背景及意义 洗衣机自问世以来,经过一个多世纪的发展,现正呈现出全自动、多功能、大容量、高智能、省时节能的发展趋势。近年来,电子技术、控制技术、信息技术的不断完善、成熟,为上述发展趋势提供了坚强的技术保障。L·A·Zadeh教授最早提出了模糊集合理论,由此产生了模糊控制技术,其突出的优点是:不需要对被控对象建立精确的数学模型。对于复杂的、非线性的、大滞后的、时变的系统来说,建立数学模型是非常困难的。全自动滚筒洗衣干衣机的自动化、智能化控制正是一种难以建立精确数学模型的控制问题,采用模糊控制技术,能够很方便的控制洗衣干衣过程。模糊控制全自动滚筒洗衣干衣机是经过模糊推理找出最佳洗涤烘干方案,以优化洗涤烘干时间、洗净程度、烘干效果,最终达到提高效率,简化操作,节水节电省时的效果。模糊控制全自动滚筒洗衣干衣机属于创新项目,填补国内空白,达到国际先进水平。它的研制成功,必将大大推动中国乃至世界洗衣

全自动洗衣机电气控制系统设计

毕业设计(论文)题目:全自动洗衣机电气控制系统设计 学生姓名:朱岷 学号:2010010652 所在学院:机械与电子工程学院 专业班级:电气1003 届别:2014届 指导教师:马玲

皖西学院本科毕业设计(论文)创作诚信承诺书 1.本人郑重承诺:所提交的毕业设计(论文),题目《》是本人在指导教师指导下独立完成的,没有弄虚作假,没有抄袭、剽窃别人的内容; 2.毕业设计(论文)所使用的相关资料、数据、观点等均真实可靠,文中所有引用的他人观点、材料、数据、图表均已标注说明来源; 3. 毕业设计(论文)中无抄袭、剽窃或不正当引用他人学术观点、思想和学术成果,伪造、篡改数据的情况; 4.本人已被告知并清楚:学校对毕业设计(论文)中的抄袭、剽窃、弄虚作假等违反学术规范的行为将严肃处理,并可能导致毕业设计(论文)成绩不合格,无法正常毕业、取消学士学位资格或注销并追回已发放的毕业证书、学士学位证书等严重后果; 5.若在省教育厅、学校组织的毕业设计(论文)检查、评比中,被发现有抄袭、剽窃、弄虚作假等违反学术规范的行为,本人愿意接受学校按有关规定给予的处理,并承担相应责任。 学生(签名): 日期:年月日

目录 1绪论 (1) 1.1背景意义 (1) 1.2洗衣机发展历史 (1) 1.3课题研究的目的与意义 (1) 1.4本课题研究的主要内容 (2) 2 全自动洗衣机控制系统总体控制方案确定 (2) 2.1 总体控制方案确定 (2) 3 全自动洗衣机的基本结构 (4) 3.1 全自动洗衣机的原理和构造 (4) 3.2 洗涤脱水系统 (5) 3.3 排水和进水系统 (5) 3.4电动机及传动系统 (5) 4 电气控制系统 (6) 4.1 控制系统结构 (6) 4.2 控制系统原理 (7) 4.3 检测电路系统 (7) 5 主要器件的选择 (8) 5.1 电动机的选择 (8) 5.2 可编程控制器外部设计 (8) 6 软件设计 (10) 6.1 系统的顺序功能图设计 (10) 6.2 全自动洗衣机的控制要求 (10) 6.3 控制系统顺序功能图 (10) 6.4 控制系统的梯形图设计 (12) 6.4系统资源分布 (15) 7总结: (16) 参考文献: (17)

EDA-洗衣机控制器设计

佛山职业技术学院 2013年07月1日

洗衣机控制器的设计 一、实训课题: 洗衣机控制器的设计 二、设计的内容及要求: 1.设计一个洗衣机控制器,要求为: 1)洗衣机控制器可以驱动洗衣机进行洗涤、漂洗或烘干; 2)洗衣机控制器可以设置洗衣机的工作时间,工作时间最短1分钟,最长1小时, 在工作过程中,工作时间以倒计时显示,若时间为0洗衣机停止工作; 3)洗衣机在待机状态时,洗衣机控制器可以设置洗衣机的工作方式和工作时间; 4)可以暂停或停止洗衣机工作; 5)利用三个数码管显示洗衣机待机时的设置时间和工作时的运行时间,利用一位 数码管显示洗衣机待机时所设置的工作方式运行时的工作方式; 6)利用三个LED分别表示驱动洗衣机进行洗涤、漂洗或烘干。 7)洗涤时,电机中速正转;漂洗时,电机慢速反转;烘干时,电机快速正转。 2.洗衣机控制器可以划分为状态机模块、计时器模块、设置模块和显示选择模块。在QuartusII中输入各个模块的代码,编译综合,仿真,完成各个模块的软件设计; 3.把各个模块组合起来,综合编译,仿真,完成整个控制器系统的软件设计; 4.选择电路方案锁定管脚,把程序下载到实验箱中,利用实验箱进行硬件实现; 5.以EPM240为核心,设计洗衣机控制器硬件电路原理图和PCB版图。 6.完成实训报告。实训报告包括: 1)设计的任务和要求; 2)模块的划分和系统总框图; 3)各个模块的实现,包括模块的作用,模块的输入与输出情况,模块状态图,模 块的代码以及注释,模块的波形图; 4)系统的实现,包括系统总原理图,系统的波形图; 5)管脚的锁定关系; 6)电路原理图和PCB版图; 7)实训总结。 三.设计思路: 1)状态切换→有限状态机 2)按定时时间及时→定时计数器 3)显示时间→数码管译码驱动器 4)接收设置时间→时间设置键盘扫描器 5)接收设置模式→模式设置键盘扫描器 6)切换显示运行时间和设置时间→二路选择器

全自动洗衣机的控制设计说明

全自动洗衣机的控制设计 摘要 本文描述了采用可编程控制器(PLC)作为核心控制部件,并利用计算机进行模拟监控的全自动洗衣机控制系统。文章介绍了洗衣机的结构,对全自动洗衣机的控制系统进行了分析,在此基础上提出了基于PLC的全自动洗衣机控制方案,并对方案进行了论证,根据洗衣机的工作原理,设计了流程及程序,对按钮,等其它一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于洗涤,排水,脱水的时间均由PLC计数器控制,所以只要改变定时器参数就可以改变时间。对其中软件设计、硬件设计等问题进行了分析和研究,实现了全自动洗衣机的正常运行、简易模式及强制性停止功能。具有智能化程度高、安全可靠、方便、灵活等特点。 关键词:PLC 自动定时器控制

Fully automatic washing machine control design Abstract This paper describes the use of programmable logic controller (PLC) as the core control unit, and monitoring the use of computer simulation control system for automatic washing machine. This paper introduces the structure of the washing machine, full automatic washing machine control system is analyzed, on this basis was proposed based on fully automatic washing machine PLC control program, and a demonstration program, according to the washing machine working principle, design a process and procedures, buttons, and other some input / output control points to achieve the automation of the process of washing laundry. As washing, drainage, dewatering of the time by the counter within the PLC control, so long as the parameters can change the timer time. On which software design, hardware design issues such as analysis and research, to achieve the normal operation of the automatic washing machine, simple patterns and mandatory stop function. With a high degree of intelligence, secure, reliable, convenient and flexible. Key word: PLC automatic Timer control

基于VHDL语言的简易洗衣机控制器

电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月

目录 第一部分:设计任务与要求 (1) 第二部分:总体框图 (1) 第三部分:选择器件 (2) 第四部分:功能模块 (3) 4.1时间预置编码寄存模块(settime) (3) 4.2减法计数器模块(counter) (4) 4.3数码管显示模块(showtime) (7) 4.4时序电路模块(analyse) (9) 4.5译码器模块(move)……………………………………… 1 1 第五部分:总体设计电路图 (13) 5.1总体(顶层)设计电路图 (13) 5.2顶层文件仿真 (13) 5.3管脚分配图 (14) 5.4硬件实验效果图 (14) 第六部分:课程设计心得体会 (15)

简易洗衣机控制器设计 一、设计任务与要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运 转: 时间到 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED 表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、总体框图 RUN REV PAUSE time_over clk K5 start K6 load K1 K2 K3 K4 各个部分的具体功能描述如下: (一)预设时间和编码电路(settime ):接受用户通过按钮预置的时间信息,编码 译码驱动模块(move) clk out_1 out_2 start 时序电路模块(analyse) clk time_over start 十进制减法计数器模块(counter) 洗涤预置时间编码寄存电路模块(settime) 报警信号 时间显示模块(showtime ) 定时启动 停止 正转 暂停 反转 暂停

洗衣机模糊控制建模

智能控制课程作业 模糊控制理论实验报告 题目洗衣机系统模糊控制建模与仿真班级 姓名 学号 2014年3月13日

一.实验目的 通过设计洗衣机洗涤时间的模糊控制系统,理解模糊控制的基本原理。掌握模糊控制系统MATLAB建模与仿真的方法。 二.实验原理 洗衣机洗涤时间的模糊控制是一个开环模糊决策过程,其基本原理框图如图1-1所示。它的核心部分是模糊控制器,模糊控制器的控制律由计算机程序来实现。 图1-1 系统原理框图 系统选用两输入单输出的模糊控制器。控制器的输入为衣物的污泥量x和油脂量y,输出为洗涤时间z。 将污泥分为3个模糊集:SD(污泥少),MD(污泥中),LD(污泥多);将油脂分为3个模糊集:NG(油脂少),MG(油脂中),LG(油脂多);将洗涤时间分为5个模糊集:VS(很短),S(短),M(中等),L(长),VL很长。 首先,定义输入x,y变量,输出z变量的隶属函数。根据“污泥越多,油脂越多,洗涤时间越长”;“污泥适中,油脂适中,洗涤时间适中”;“污泥越少,油脂越少,洗涤时间越短”的规律建立洗衣机模糊规则表。然后,根据模糊规则进行模糊推理并得到洗涤时间的模糊集合。最终,利用重心法对模糊系统反模糊化,将洗涤时间的推理结果转化成精确值z输出。 三.实验内容 利用MATLAB软件实现上述洗衣机系统模糊控制的建模与仿真。 1.建立x,y,z的隶属函数 洗衣机系统变量x,y,z的隶属函数分段表达式,如式1-1所示。

()()()()()()()()()()() ()()()()()SD MD LD NG MG LG VS 50/50050/50 050100/505010050/505010050/50050/5005011100/505010050/505010010/10010S x x x x x x x x x x x y y y y y y y y y y y z z z z μμμμμμμμμμμ=-≤≤?? ≤≤???==?? -<≤??? ?=-<≤?=-≤≤?? ≤≤??? ==-?? -<≤??? ?=-<≤?=-≤≤=污泥 油脂 洗涤时间()()()()()()()()()VL /1001025/15102510/15102540/15254025/15254060/20 406040/204060 M L z z z z z z z z z z z z z z z z z μμμ??≤≤???=??-<≤??? ?-≤≤??? =?? -<≤??? ? -≤≤? ??=??-<≤??? ?=-≤≤? 在MATLAB 中,定义本系统为一个Mamdani (普通)型模糊控制系统,命名为a 。根据式1-1,分段建立系统a 的x,y,z 变量隶属函数,如图1-2所示。

相关文档
最新文档