交通信号灯设计报告(含程序)
PLC s7-300红绿灯交通灯程序设计报告书

上海理工大学之袁州冬雪创作课程设计报告书题目:plc课程设计系名:电气工程及其自动化专业班级:姓名:学号:指导教员:7月 1日课程设计任务书学生姓名:专业班级:指导教员:工作单位:题目:十字路口交通灯的节制一.初始条件1.给定交通灯节制的时序逻辑和工作形式;2.给出用于系统调试用的S7300PLC、计算机及交通灯模子;3.给出PLC系统的编程软件.二.要求完成的主要任务1. 设计要求⑴根据课程设计指导书的交通灯节制所要求的时序逻辑,要求用线性化编程和布局化编程两种编程方法来实现该节制逻辑.⑵在布局化编程方法中,以某一方向的红灯和另外一方向的绿灯和黄灯为节制对象编制FC1,OB1中调用FC1.而且东西向和南北向灯只能调用同一个FC1.2. 设计陈述撰写要求内容要求一般要求包含如下内容:⑴目次编制课程设计的目次,目次的各级题目依照章节顺序摆列,最多列到三级题目即可,如1.1.1.⑵引言课程设计正文前的简短先容.包含本课题的设计目标、设计的主要过程及主要的设计内容.⑶电路设计要求画出PLC的输入/输出接线图.⑷ PLC硬件组态要求列出硬件组态表.⑸ PLC编程元件的地址分配首先对输入/输出点停止地址分配,然后对其他编程元件也停止地址分配,如位存储器M,定时器T,计数器C等.编程中要使用符号地址,所以在OB1中要编辑符号表(包含输入继电器、输出继电器、定时器及位存储器(或叫辅助继电器),在FC1中要编辑变量声明表.⑹编写节制程序要求:①编写线性化程序;②在布局化编程中分别编制OB1和FC1;③在程序段中添加注释.⑺程序调试说明对设计中遇到的主要问题及处理方法、调试过程及方法、在调试过程中对对原设计程序做了哪些有意义的改进及调试的成果几方面停止阐述.⑻竣事语对本课程设计停止总结,写出设计中的体会.⑼主要参考文献写出完成设计任务过程中参考的主要参考文献,注意参考文献的格式.格式要求1.纸张格式:要求统一用A4纸打印,页面设置上空2.5cm,下空2.0cm,左空2.5cm,右空2.0cm):2. 正文条理:正文内容条理序号为:1、1.1、1.1.1……,其中⑴.正文题目;一级题目1.(黑体小2号加粗),二级题目 1.1(黑体小三号),三极题目 1.1.1(黑体小四号).⑵.正文内容格式:宋体五号,1.25倍行距.3. 参考文献格式:参考文献很多于5个,并应按文献号、作者、文献题名、出版地:出版社和出版年等顺序书写.如:[1] 戴军,袁惠新.膜技术在含油废水处理中的应用.膜迷信与技术,.4. 图表要求:所有曲线、图表、线路图、流程图、程序框图、示意图等不准徒手画,必须采取计算机辅助绘图.图序及图名置于图的下方;表序及表名置于表的上方;图表一律采取采取阿拉伯数字持续编号.装订顺序设计陈述依照如下顺序装订:封面—任务书—目次—正文—参考文献—评分表.2.2课题二:十字路口交通灯的节制⑴ 综合应用所学PLC知识设计一个交通灯节制系统;⑵ 通过自行编程调试掌握PLC节制系统的设计方法.2.2.2任务描绘:某十字路口的东西方向和南北方向分别装置红、绿、黄交通信号灯,设置如下图1.1所示:图1.1 十字路口交通灯设置示意图2.2.3节制要求:交通信号灯在白日和夜晚的工作方式分歧,由选择开关SA 停止节制.⑴ 白日工作形式:表11 交通信号灯的详细节制要求表中绿灯闪烁的频率为1HZ,亮500MS灭500MS循环.图1.2 交通信号灯白日工作时的时序图⑵ 夜晚工作形式:当SA选择夜晚工作形式时,红灯和绿灯停止工作,只有黄灯一直闪烁,闪烁的频率为1HZ(亮500MS灭500MS循环).2.2.4 程序设计方案要求:⑴ 要求用线性化编程和布局化编程两种编程方法来实现.⑵ 在布局化编程中,以某一方向的红灯和另外一方向的绿灯和黄灯为节制对象编制FC1,OB1中调用FC1.而且东西向和南北向灯只能调用同一个FC1.2.2.5课程设计陈述的主要内容:⑴ 目次编制课程设计的目次,目次的各级题目依照章节顺序摆列,列到三级题目即可.⑵ 引言课程设计正文前的简短先容.包含本课题的设计目标、设计的主要过程及主要的设计内容.⑶ 电路设计要求画出PLC的输入/输出接线图.⑷ PLC硬件组态要求列出硬件组态表.⑸ PLC编程元件的地址分配首先对输入/输出点停止地址分配,然后对其他编程元件也停止地址分配,如位存储器M,定时器T,计数器C等.编程中要使用符号地址,所以在OB1中要编辑符号表(包含输入继电器、输出继电器、定时器及位存储器(或叫辅助继电器),在FC1中要编辑变量声明表.⑹ 编写节制程序要求① 编写线性化程序;② 在布局化编程中分别编制OB1和FC1;③ 在程序段中添加注释.⑺ 程序调试说明对设计中遇到的主要问题及处理方法、调试过程及方法、在调试过程中对对原设计程序做了哪些有意义的改进及调试的成果几方面停止阐述.⑻ 竣事语对本课程设计停止总结,写出设计中的体会.⑼ 主要参考文献写出完成设计任务过程中参考的主要参考文献,注意参考文献的格式.据不完全统计,今朝我国城市里的十字路口交通系统大都采取定时来节制(不解除繁忙路段或高峰时段用交警来取代交通灯的情况),这样必定发生如下弊病:当某条路段的车流量很大时却要等待红灯,而此时另外一条是空道或车流量相对少得多的道却长时间亮的是绿灯,这种多等少的尴尬现象是未对实际情况停止实时监控所造成的,不但让司机乘客怨声载道,而且对人力和物力资源也是一种华侈. 智能节制交通系统是今朝研究的方向,也已经取得很多成果,在少数几个先进国家已采取智能方式来节制交通信号,其中主要运用GPS全球定位系统等.出于便捷和效果的综合思索,我们可用如下方案来节制交通路况:制作传感器探测车辆数量来节制交通灯的时长.详细如下:在入路口的各个方向附近的地下按要求埋设感应线圈,当汽车颠末时就会发生涡流损耗,环状绝缘电线的电感开端减少,即可检测出汽车的通过,并将这一信号转换为尺度脉冲信号作为可编程节制器的节制输入,并用PLC 计数,按一定节制规律自动调节红绿灯的时长. 比较传统的定时交通灯节制与智能交通灯节制,可知后者的最大优点在于减缓滞流现象,也不会出现空道占时的情形,提高了公路交通通行率,较全球定位系统而言成本更低.1.4 PLC编程元件的地址分配3。
十字路口交通灯PLC交通灯课程设计报告

信息与电子工程学院课程设计报告目录一、课程设计概述 (3)1.1课程设计内容 (3)1.2课程设计技术指标 (3)二、方案的选择及确定 (4)三、系统硬件设计 (5)四、系统软件设计 (6)五、触摸屏设计 (8)六、系统调试 (9)七、总结以体会 (9)八、参考文献 (10)九、附录 (10)附录1:I/O分配表: (10)附录2:仪器与器件 (10)附录3:外部接线图 (11)附录4:触摸屏页面图 (12)附录5:使用说明 (12)一、课程设计概述1.1课程设计内容在本设计中以城市十字路口为研究对象,根据十字路口车辆运行情况,调整各红、绿、黄灯亮的时间,如下图交通灯的时间和亮灯的情况表所示:1、黄灯亮时提示相应的车辆准备。
2、绿灯亮时表示车辆直行。
3、绿灯闪烁时表示车辆转弯行驶。
4、红灯亮时表示禁止通行。
当交通灯通上电后,开始工作,一个循环时间为70s,周而复始。
此交通灯每转换红、绿灯中间都设有黄灯2s,即可提示相应的车辆准备又让上一步骤行驶的车辆有效的保证全部通过十字路口,不仅提高了速度还提高了安全系数。
1.2课程设计技术指标1、东西红灯亮的同时南北黄灯亮2秒提示南北直行车辆准备,后南北绿灯亮24秒南北车辆可直行,然后在黄灯亮2秒提示南北转弯车辆准备,后在绿灯闪烁7秒此时南北转弯车辆可行驶。
后跳转至南北红灯同时东西黄灯亮2秒提示东西直行车辆准备,后东西绿灯亮24秒东西车辆可直行,然后在黄灯亮2秒提示东西转弯车辆准备,后在绿灯闪烁7秒此时东西转弯车辆可行驶,周而复始。
2、各方向的交通灯受一个启动开关控制,当启动开关接通时,交通灯开始工作,且先东西红灯亮,南北黄灯亮。
当起动开关断开时,所有信号灯都同时熄灭。
3、程序要求各方向的同色交通灯不能同时亮,并且各方向不同状态切换时都有黄灯2秒的准备时间。
4、车辆的运行必须要在路口交通灯显示为绿灯的时候才能启动。
5、运用PLC做实验的时候必须要运用到在PLC仪器上的触摸屏来显示程序的实验结果。
单片机交通灯课程设计报告(含电路图_源程序).

摘要 (2)1.引言 (3)2.总体设计方案 (3)2.1. 设计思路 (3)2.1.1.设计目的 (3)2.1.2.设计任务和内容 (4)2.1.3.方案比较、设计与论证 (4)2.1.4.芯片简介 (6)2.2. 设计方框图 (9)3.设计原理分析 (9)3.1. 交通灯显示时序的理论分析与计算 (9)3.2. 交通灯显示时间的理论分析与计算 (11)3.3. 电路模块 (12)3.3.1.LED数码管显示模块 (12)3.3.2.LED红绿灯显示模块 (14)3.3.3.复位电路 (16)3.3.4.晶振电路 (17)4.结束语 (17)5.参考文献 (17)6.附录 (18)6.1. 附录1:程序清单 (18)6.2. 附录2:电路设计总图 (23)6.3附录3:实物图............................................................................ 错误!未定义书签。
摘要交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。
交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。
近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。
在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。
本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。
本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。
本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。
系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。
交通灯控制电路的设计(实验报告)

交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。
2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。
对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。
二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。
定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。
定时时间到,Ty=1,否则,Ty=0。
St:表示定时器到了规定的时间后,由控制器发出状态转换信号。
它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。
2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。
(2)乙车道黄灯亮乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。
黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。
(3)甲车道红灯亮乙车道绿灯亮。
表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。
交通灯课程设计实训报告

一、引言随着城市化进程的加快,交通拥堵问题日益严重,交通信号灯作为城市交通管理的重要手段,对于提高道路通行效率、保障交通安全具有重要作用。
为了让学生更好地了解交通信号灯的工作原理和设计方法,我们开展了交通灯课程设计实训。
本文将对实训过程进行总结,并对设计成果进行分析。
二、实训目的1. 熟悉交通信号灯的工作原理和设计方法;2. 学会使用单片机进行交通信号灯控制;3. 提高学生的实践能力和创新能力;4. 培养学生的团队协作精神。
三、实训内容1. 交通信号灯基本原理交通信号灯主要包括红灯、黄灯和绿灯三种颜色,分别代表禁止通行、注意和允许通行。
交通信号灯的基本工作原理是:通过单片机控制信号灯的亮灭,实现交通信号的变换。
2. 单片机交通信号灯控制系统设计本实训采用AT89C52单片机作为核心控制单元,设计了一个十字路口交通信号灯控制系统。
系统主要包括以下部分:(1)硬件电路设计:包括单片机、信号灯模块、按键模块、数码管显示模块等。
(2)软件设计:主要包括初始化程序、主程序和中断服务程序。
3. 交通信号灯控制策略(1)基本控制策略:南北方向绿灯亮时,东西方向红灯亮;南北方向黄灯亮时,东西方向红灯亮;南北方向红灯亮时,东西方向绿灯亮;南北方向红灯亮时,东西方向黄灯亮。
(2)时间控制策略:绿灯亮20秒,黄灯亮4秒,红灯亮24秒。
(3)手动/自动控制策略:通过按键切换手动/自动模式,实现交通信号灯的手动控制。
四、实训过程1. 硬件电路搭建:按照设计要求,将单片机、信号灯模块、按键模块、数码管显示模块等硬件电路连接起来。
2. 软件编程:使用C语言编写单片机程序,实现交通信号灯的控制。
3. 系统调试:对系统进行调试,确保交通信号灯工作正常。
4. 优化设计:根据实际情况,对系统进行优化设计,提高系统性能。
五、实训成果1. 成功设计并实现了十字路口交通信号灯控制系统。
2. 系统具有手动/自动控制功能,可满足实际交通需求。
交通灯程序实验报告

徐州工业职业技术学院《交通灯》实验报告题目:交通灯实验系部:电气工程系年级专业:应用电子082学生姓名:谢宜峰学号:830706032 指导老师:张江伟实验时间: 2010年6月22日一、实验目的仿真十字路口交通信号灯的工作过程,设计一个交通信号灯控制器。
要求: (1)、交通灯从绿变红时,有3秒黄灯亮的间隔时间; (2)、交通灯红变绿是直接进行的,没有间隔时间;(3)、主干道上的绿灯时间为27秒,支干道的绿灯时间为27秒; (4)、在任意时间,显示每个状态到该状态结束所需的时间。
图1路口交通管理示意图二、实验原理1、系统框图RGY三、各功能实现原理1.减计数器(1)程序Library ieee;use ieee.std_logic_1164.all; --打开程序包 use ieee.std_logic_unsigned.all; entity jianjishuqi isPort( ld,clk: in std_logic; --,clk 时钟,ld 使能 gg,ss: in std_logic_vector(3 downto 0); --gg 个位,ss 十位co : out std_logic; --进位 g,s : buffer std_logic_vector(3 downto 0) --g个位,s十位 );end jianjishuqi;Architecture a of jianjishuqi isbeginco <='1' when (g = "0000" AND s = "0000") else '0' ; --条件赋值 process( clk,ld ) --计数进程beginif ld = '1' theng <=gg; s<=ss;elsif rising_edge( clk ) then --如果时钟上升沿 falling_edge if(g="000" and s="0000") theng<=gg; s<=ss ;elsif g="0000" then --如果个位等于0 g<="1001"; s<=s-1 ; --个位9,十位减1 else --其它g<=g-1;s<=s; --个位减1,十位不变end if;end if;end process;end a;(2)仿真波形减计数器模块仿真波形(3)模块2.初值选择器(1)程序Library IEEE;Use Ieee.Std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chuzhixuanze isport (sel :in std_logic_vector(1 downto 0);s:out std_logic_vector(3 downto 0);g:out std_logic_vector(3 downto 0));end chuzhixuanze;architecture a of chuzhixuanze isbeginprocess (sel)beginif sel = "01" theng<="0000";s<="0011";elsif sel = "10" theng<="0011"; s<="0000";elsif sel = "11" theng<="0111"; s<="0010";elseg <= "0000"; s<="0000";end if;end process;end a;(2)仿真波形初值选择器模块仿真波形(3)模块3.控制器(1)程序Library IEEE;Use Ieee.Std_logic_1164.all;use ieee.std_logic_unsigned.all;Entity kongzhiqi IsPort ( co ,clk ,rst:In Std_logic;LD:Out Std_logic ;sel:out std_logic_vector(1 downto 0); rgy:out std_logic_vector(0 to 2));end kongzhiqi;Architecture dd Of kongzhiqi IsType state_type Is( taob1 , taob2, taob3 , taob4 , taob5 ,taob6 ) ; Signal state : state_type;Beginchange_State:Process ( clk ,rst , co )BeginIF rst = '1' ThenState <= taob1 ;Elsif rising_edge( clk ) ThenCase state ISWhen taob1 =>state <= taob2 ;When taob2 =>IF co = '1' Thenstate <= taob3 ;Elsestate <= taob2;End if;When taob3 =>state <= taob4 ;When taob4 =>IF co = '1' Thenstate <= taob5 ;Elsestate <= taob4;End if;when taob5 =>state <= taob6 ;when taob6=>if co = '1' Thenstate <= taob1;else state <= taob6;end if;End case;End IF;End Process;Output_Process:Process( state )BeginCase state ISWhen taob1 =>sel<="01"; LD<='1'; rgy<="100";When taob2 =>sel<="00"; LD<='0'; rgy<="100";When taob3 =>sel<="11"; LD<='1'; rgy<="010";When taob4 =>sel<="00"; LD<='0'; rgy<="010";When taob5 =>sel<="10"; LD<='1'; rgy<="001";When taob6 =>sel<="00"; LD<='0'; rgy<="001";end case;end Process;end dd;(2)仿真波形控制器模块仿真波形(3)模块4.译码器(1)程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; --打开程序包USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY disp ISPORT (d:IN STD_LOGIC_VECTOR(3 DOWNTO 0);q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END disp;ARCHITECTURE a OF disp ISBEGINPROCESS (d) --解碼进程 BEGINCASE d ISWHEN"0000"=>q<="0111111"; --0 WHEN"0001"=>q<="0000110"; --1 WHEN"0010"=>q<="1011011"; --2 WHEN"0011"=>q<="1001111"; --3 WHEN"0100"=>q<="1100110"; --4 WHEN"0101"=>q<="1101101"; --5 WHEN"0110"=>q<="1111101"; --6 WHEN"0111"=>q<="0100111"; --7 WHEN"1000"=>q<="1111111"; --8 WHEN"1001"=>q<="1101111"; --9 WHEN OTHERS=>q<="0000000"; --其它无显示 END CASE;END PROCESS;END a;(2)仿真波形译码器模块仿真波形(3)模块8.总图。
交通信号灯控制系统设计实验报告

交通信号灯控制系统设计实验报告设计目的:本设计旨在创建一个交通信号灯控制系统,该系统可以掌控红、绿、黄三种交通信号灯的工作,使其形成一种规律的交替、循环、节奏,使车辆和行人得以安全通行。
设计原理:在实际的交通灯系统中,通过交通灯控制器控制交通灯的工作。
一般采用计时器或微电脑控制器来完成,其中微电脑控制器可以方便地集成多种控制模式,并且灵活易于升级。
在本设计中,我们采用了基于Atmega16微控制器的交通信号灯控制系统。
该系统通过定时器中断、串口通信等技术来实现。
由于控制的是三个信号灯的交替,流程如下:绿灯亮:红灯和黄灯熄灭绿灯由亮到灭的时间为10秒黄灯亮:红灯和绿灯熄灭黄灯由亮到灭的时间为3秒红灯亮:绿灯和黄灯熄灭红灯由亮到灭的时间为7秒重复以上过程硬件设计:整个系统硬件设计包含ATmega16控制器、射频芯片、电源模块和4个灯组件。
ATmega16控制器采用DIP封装,作为主要的控制模块。
由于需要串口通信和遥控器控制,因此添加了RF24L01射频芯片。
该射频芯片可以很方便地实现无线通信和小型无线网络。
4个灯组件采用红、绿、黄三色LED灯与对应300Ω电阻并连。
电源模块采用5V稳压电源芯片和电容滤波,确保整个系统稳定可靠。
软件设计:通过ATmega16控制器来实现交通信号灯控制系统的功能。
控制器开始执行时进行初始化,然后进入主循环。
在主循环中,首先进行红灯亮的操作,接着在计时时间到达后执行黄灯亮的过程,然后执行绿灯亮的过程,再到计时时间到的时候执行红灯亮的过程。
每个灯持续时间的计时采用了定时器的方式实现,在亮灯过程中,每秒钟进行一次计数,到达相应的计数值后,切换到下一步灯的操作。
在RF24L01射频芯片的支持下,可以使用无线遥控器来对交通信号灯的控制进行远程控制。
在系统初始化完成后,通过串口通信对RF24L01进行初始化,然后进入控制循环。
在这个控制循环中,接收到遥控器的指令后,进行相应的控制操作,如开、关灯等。
交通灯设计实验报告

一、实验目的1. 理解交通灯控制系统的基本原理和设计方法。
2. 掌握使用单片机进行交通灯控制系统的设计与实现。
3. 培养动手实践能力和团队协作精神。
二、实验原理交通灯控制系统是城市交通管理的重要组成部分,其主要目的是通过红、黄、绿三种信号灯的变换,实现对车辆和行人的有序通行。
本实验采用单片机作为控制核心,通过编写程序实现对交通灯的控制。
三、实验设备1. 单片机开发板(如51单片机开发板)2. 交通灯模块(红、黄、绿三色LED灯)3. 按键模块4. 数码管模块5. 电阻、电容等电子元器件6. 调试工具(如万用表、示波器等)四、实验步骤1. 系统设计(1)确定交通灯控制系统的功能需求:实现红、黄、绿三色LED灯的交替闪烁,满足交通信号灯的基本要求。
(2)设计系统框图:单片机作为核心控制单元,通过编写程序实现对交通灯的控制。
系统框图如下:```+------------------+ +------------------+ +------------------+| | | | | || 单片机 |-------| 交通灯模块 |-------| 按键模块|| | | | | |+------------------+ +------------------+ +------------------+```(3)编写程序:根据系统需求,编写单片机控制程序,实现红、黄、绿三色LED灯的交替闪烁。
2. 硬件搭建(1)将单片机开发板与交通灯模块、按键模块、数码管模块等连接。
(2)根据电路原理图,连接电阻、电容等电子元器件。
(3)使用万用表测试电路连接是否正确。
3. 软件编程(1)使用C语言编写单片机控制程序。
(2)编译程序,生成可执行文件。
(3)将可执行文件烧录到单片机中。
4. 系统调试(1)使用示波器观察单片机引脚输出波形。
(2)检查交通灯模块是否正常工作。
(3)使用万用表测试按键模块是否正常工作。
(4)根据实际情况调整程序参数,确保系统稳定运行。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
武汉纺织大学
电子设计竞赛
——交通信号灯自动控制系统设计报告
学院:电子与电气工程学院
班级:电子093班
成员:
2011年5月1日
目录
交通信号灯自动控制系统设计概要 (1)
第一部分:系统方案 (1)
第二部分:电路与程序设计 (4)
第三部分:测试方案与测试结果 (6)
参考文献 (6)
附录一主程序流程图 (7)
附录二元器件清单 (8)
附录三程序清单 (9)
附录四仿真电路图………………………………………………
交通信号灯自动控制系统设计概要
一、设计要求要求
1.系统基本功能要求
(1)以秒为计时单位,两位数码管以十进制递减计数形式作定时显示,在递减计数回零瞬间完成换灯操作。
(2)通过键盘红、黄、绿三色信号灯所亮时间在0~99秒内任意设定。
(3)十字路口的通行起始状态可人工设定,运行中可通过人工干预使十字路口通行状况固定于任何一种工作模式。
(4)具有时间控制功能,交通信号灯工作时间:05:00~23:00;其余时间两个干道上的黄色信号灯闪烁显示;
(4)绿色信号灯倒计时最后3秒和黄色信号灯显示时闪烁显示。
(闪烁频率:1Hz);
二、设计思路
为了完成本题目的设计要求,即倒计时及时间控制功能,采用单片机内部定时器进行时间的控制,为了实现调整工作倒计时时间、系统工作时间及工作状态,采用5个键盘分别对上面内容进行设置。
交通信号采用发光二极管,排布参照下面布局,数码管显示倒计时时间及系统时间。