数字基带信号传输码型发生器的设计

数字基带信号传输码型发生器的设计
数字基带信号传输码型发生器的设计

数字基带信号发生器的设计

摘要

设计一个基于FPGA的数字基带信号发生器,首先简要介绍了单极性非归零码、双极性非归零码、单极性归零码、双极性归零码、差分码、交替极性码、分相码、传号反转码等基带码的基本特点,然后根据码型转换原理设计发生器模块。由于EDA技术可以简化电路,集成多块芯片,减小电路体积,所以程序采用VHDL进行描述,并用quartusII软件仿真实现所有功能,最后将功能集成到FPGA上,并设计电路、制作实物,产生的基带码稳定、可靠,可满足不同数字基带系统传输需要。

关键词:数字基带码;EDA;VHDL;PCB;FPGA

Abstract

FPGA-based design a letter-number generator with,first of all we briefly introduce unipolar NRZ code,bipolar NRZ,Unipolar zero yards code,bipolar zero yards code,differential code, alternating polarity code,phase code,code-reversal,and third-order high-density bipolar codes etc.Then we design generator module under the code-conversion design principles.As EDA technology can make circuit simple,integrate multiple chips,reduce the size of circuits,so we take advantage of VHDL to describe it and use quartusII software to simulate.Finally we integrate all the fetures into the FPGA,and design circuit to generate stable and reliable base-band code to meet the different base-band digital transmission system needs.

Key words:digital base-band code;EDA;VHDL;PCB;FPGA

目录

摘要 (1)

Abstract (2)

1前言 (5)

2数字基带信号 (6)

2.1数字基带信号的码型设计原则[2] (6)

2.2非归零码(NRZ码)[1][3] (6)

2.2.1单极性 (6)

2.2.2双极性 (7)

2.3归零码(RZ码)[1][3] (7)

2.3.1单极性 (7)

2.3.2双极性 (7)

2.4差分码[4] (8)

2.5交替极性码(AMI码)[4] (8)

2.6分相码(曼彻斯特码)[5] (9)

2.7传号反转码(CMI码)[4][6] (9)

3EDA概述 (11)

3.1硬件描述语言[8] (11)

3.1.1Verilog-HDL (12)

3.1.2VHDL (12)

3.2可编程逻辑器件[11] (13)

3.3EDA软件[12] (14)

4基带码发生器的设计原理 (15)

4.1基带码发生器的原理框图 (15)

4.2码型转换原理 (16)

5软件设计与仿真 (17)

5.1VHDL程序设计[13][14] (17)

5.2软件仿真 (19)

5.2.1编辑和输入设计文件 (19)

5.2.2创建工程 (20)

5.2.3全程综合与编译 (22)

5.2.4仿真测试 (22)

6仿真结果及分析 (23)

6.1器件仿真结果 (23)

6.2波形仿真结果 (23)

6.2.1NRZ的仿真波形 (23)

6.2.2DRZ的仿真波形 (24)

6.2.3CFM的仿真波形 (24)

6.2.4CMI的仿真波形 (25)

6.2.5FXM的仿真波形 (25)

6.2.6SRZ的仿真波形 (25)

6.2.7AMI的仿真波形 (26)

6.2.8综合仿真波形 (26)

7课设总结 (27)

参考文献 (28)

1前言

近年来,随着大规模集成电路的出现,数字系统的设备复杂程度和技术难度降低,数字通信系统的主要缺点逐渐得到解决,因此数字传输方式日益受到欢迎。

数字传输系统中,传输对象通常是二元数字信息,而设计数字传输系统的基本考虑是选择一组有限的离散的波形来表示数字信息。这些取值离散的波形可以是未经调制的电信号,也可以是调制后的信号。未经调制的数字信号所占据的频谱是从零域或很低频率开始,称为数字基带信号。不经载波调制而直接传输数字基带信号的系统,称为数字基带传输系统。数字基带传输系统方框图如图1-1所示。

图1-1数字基带传输系统方框图

目前,虽然数字基带传输的应用不是很广泛,但对于基带传输系统的研究仍然十分有意义,主要是因为:

1、在利用对称电缆构成的近程数据通信系统中广泛采用了这种传输方式;

2、随着数字通信技术的发展,基带传输方式也有迅速发展的趋势;

3、基带传输中包含带通传输的许多基本问题;

4、任何一个采用线性调制的带通传输系统,可以等效为一个基带传输系统。

2数字基带信号

数字基带信号是数字信息的一种表现形式,被用于数字基带传输系统。可以用不同电压或电流的代码来表示基带码。不同形式的基带码具有不同的频谱结构,合理地设计基带码是基带传输首先要考虑的问题[1]。

2.1数字基带信号的码型设计原则[2]

(1)对于传输频率很低的信道来说,线路传输码型的频谱中应不含直流分量。

(2)可以从基带信号中提取位定时信号。在基带传输系统中,需要从基带信号上提取位定时信息,这就要求编码功率谱中具有位定时线谱。

(3)要求基带编码具有内在检错能力。

(4)码型变换过程应具有透明性,即与信源的统计特性无关。

(5)尽量减少基带信号频谱中的高频分量。这样可以节省传输频带,提高信道的频谱利用率,还可以减少串扰。

2.2非归零码(NRZ码)[1][3]

非归零码分为两种,即单极性和双极性。

2.2.1单极性

这种传输码的零电平与正电平(或负电平)分别对应于二进制代码中的“0”码与“1”码。他的特点是:脉冲极性单一,有直流分量;脉冲波的占空比为100%,即一个脉冲持续的时间等于一个码元的宽度,在整个码元期间电平保持不变。该码经常在近距离传输时被采用。

图2-1单极性非归零码

2.2双极性

2.2.2.2

这种传输码的正、负电平分别对应于二进制代码中的“1”码与“0”码。从信号的一般统计规律看,由于“1”码与“0”码出现的概率相等,所以这种传输码的平均电平为零,即无直流分量。这样在接收端恢复信号时,其判决电平可取为0V,因而可消除因信道对直流电平的衰减而带来判决电平变化的影响。这种传输码还有抗干扰能力强的特点。该码常在CCITT的V系列接口标准或RS232C接口标准中使用。

图2-2双极性非归零码

2.3归零码(RZ码)[1][3]

归零码也分为两种,即单极性和双极性。

2.3.1单极性

与单极性非归零码不同,发送“1”时在整个码元期间高电平只持续一段时间,在码元的其余时间内则返回到零电平,即此方式中,在传送“1”码时发送一个宽度小于码元持续时间的归零脉冲;传送“0”码时不发送脉冲。其特征是所用脉冲宽度比码元宽度窄。主要优点是可以直接提取同步信号。单极性归零码脉冲间隔明显,有利于减小码元间的波形干扰和提取同步时钟信息,但由于脉宽窄,码元能量小,匹配接收时的输出信噪比要比NRZ码低。

图2-3单极性归零码

2.3.2双极性

这种传输码与单极性归零码相似,都是脉冲的持续时间小于码元宽度,并且都是在码元时间内回到零值。与单极性归零码不同的是,“1”码与“0”码分别是用正、负两种电平来

表示。由于相邻脉冲之间必有零电平区域存在,因此,在接收端根据接收波形归于零电平便知道1b的信息已接收完毕,以便准备下一比特信息的接收。正负脉冲的前沿起了启动信号的作用,后沿起了终止信号的作用,有利于接收端提取定时信号。因此可以保持正确的比特同步,即收发之间无需特别定时,且各符号独立地构成起止方式。此方式也叫做自同步方式。

图2-4双极性归零码

2.4差分码[4]

差分码利用前后码元电平的相对极性变化来传送信息,又称为相对码。这种传输码不是用脉冲本身的电平高低来表示二进制代码的“1”码与“0”码,而是用脉冲波的电平变化来表示码元的取值,即当码元的取值为“1”时,脉冲波的电平变化一次;而当码元的取值为“0”时,脉冲波的电平不变。这种方式的特点是,即使接收端收到的码元极性与发送端的完全相反,也能正确进行判决。采用这种波形传送二进制代码时,可以消除设备初态的影响,尤其对于调相系统来说,可以有效地消除解调时相位模糊的问题。

图2-5差分码

2.5交替极性码(AMI码)[4]

AMI码名称较多,如双极方式码、平衡对称码、传号交替反转码等。他是CCITT建议作为基带传输系统中的传输码型之一。编码规则是,二进制代码中的“1”码由正、负极性交替的脉冲表示,其脉宽等于码元周期的一半;二进制代码中的“0”码由零电平表示。此方式是单极性方式的变形,即把单极性方式中的“0”码与零电平对应,而“1”码发送极性交替的正、负电平。这种码型实际上把二进制脉冲序列变成为三电平的符号序列(故叫伪三元信

号),其优点如下:在“1”、“0”码不等概条件下也无直流成分,且零频附近低频分量小,因此对具有变压器或其他交流耦合的传输信道来说,不易受到隔直特性的影响;若接收端收到的码元极性与发送端完全相反也能正确判决;只要进行全波整流就可以变为单极性码,如果交替极性码是归零的,变为单极性归零码后就可以提取同步信号。由于这些优点,因此他是最常用的码型之一。但当传输信息中存在长连“0”码的情况时,这种传输码将会由于长时间不出现电平跳变,从而给接收端在提取定时信号时带来困难。AMI码在连“0”码过多时提取定时信号有困难。这是因为在连“0”码时AMI输出均为零电平,连“0”码这段时间内无法提取同步信号,而前面非连“0”码时提取的位同步信号又不能保持足够的时间。这是这种传输码的不足之处。

图2-6交替极性码

2.6分相码(曼彻斯特码)[5]

这种码型的特点是每个码元用两个连续极性相反的脉冲表示。如“1”码用正、负脉冲表示,“0”码用负、正脉冲表示。这种码型不论信号的统计关系如何,均完全消除了直流分量,且有较尖锐的频谱特性。同时这种码在连“1”和连“0”的情况下都能显示码元间隔,这有利于接收端提取码同步信号。该码在本地局域网中常被使用。

图2-7分相码

2.7传号反转码(CMI码)[4][6]

传号反转码(CMI码)是由CCITT建议、适合于光信道传输的码型之一。他的基本设想是将原来二进制代码序列中的一位码变为两位码,以增加信号的富裕度。CMI码是一种二元码。其具体的编码规则是:二进制代码中的“1”码交替地用“11”和“00”表示;“0”码则固

定地用“01”表示。CMI码的特点是电平随二进制数码依次跳变,因而便于恢复定时信号,尤其当用负跳变直接提取定时信号时,不会产生相位不确定问题,具有检测错误的能力。因为在这种传输码中,只有“00”、“11”、“01”这3种码组,而没有“10”这一码组。因此,接收端可根据这一特性对接收码进行检错。该码已被CCITT推荐为PCM(脉冲编码调制)4次群的接口码型。在光缆传输系统中有时也用做线路传输码型。

图2-8传号反转码

3EDA概述

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度[7]。

3.1硬件描述语言[8]

硬件描述语言(HDL)是各种描述方法中最能体现EDA优越性的描述方法。所谓硬件描述语言,实际就是一个描述工具,其描述的对象就是待设计电路系统的逻辑功能,实现该功能的算法,选用的电路结构以及其他各种约束条件等。通常要求HDL既能描述系统的行为,又能描述系统的结构。HDL的使用与普通的高级语言相似,编制的HDL程序也需要首先经过编译器进行语法,语义的检查,并转换为某种中间数据格式。但与其他高级语言相区别的是,用硬件描述语言编制程序的最终目的是要生成实际的硬件,因此HDL 中有与硬件实际情况相对应的并行处理语句。此外,用HDL制程序时,还需注意硬件资源的消耗问题(如门,触发器,连线等的数目),有的HDL程序虽然语法,语义上完全正确,但并不能生成与之相对应的实际硬件,其原因就是要实现这些程序所描述的逻辑功能,消耗的硬件资源将十分巨大。目前主要有以下两种HDL语言[9][10]。

3.1.1Verilog-HDL

Verilog-HDL语言是在1983年由GDA(Gateway Design Automation)公司的首创的。主要用于数字系统的设计。设计者可以用它来进行各种级别的逻辑设计,可以用它进行数字逻辑系统的仿真验证,时序分析,逻辑综合等。它是目前应用最广泛的硬件描述语言之一。其最大优点是与工艺无关性,这使得工程师在功能设计,逻辑验证阶段可以不必过多考虑门级电路及其工艺实现的具体细节,只需要利用系统设计时对芯片的要求,施加不同的约束条件,即可设计出实际电路。实际上,这是利用EDA工具,把逻辑验证与具体工具库匹配,把布线及延时计算由计算机自动完成,从而减轻了设计者的劳动。Verilog-HDL把数字系统当作一组模块来描述,每一个模块具有模块接口以及关于模块内容的描述,一个模块代表一个逻辑单元,这些模块用网络相互连接,相互通信。由于Verilog-HDL是标准化的,所以能把完成的设计移植到不同厂家的不同芯片中去。又由于Verilog-HDL设计的信号位数很容易改变,所以可以通过对信号位数的修改,来适应不同的硬件规模,而且在仿真验证时,仿真测试用例可以用同一种描述语言来完成。

3.1.2VHDL

VHDL语言是美国国防部于20世纪80年代后期,出于军事工业的需要开发的。1984年VHDL被IEEE确定为标准化的硬件描述语言。1993年IEEE对VHDL进行了修订,增加了部分新的VHDL命令与属性,增强了对系统的描述能力,并公布了新版本的VHDL,即IEEE标准的1076-1993版本。现在,VHDL已经成为系统描述的国际公认标准,得到众多EDA公司的支持,越来越多的硬件设计者使用VHDL描述数字系统。VHDL涵盖面广,抽象描述能力强,支持硬件的设计,验证,综合与测试。VHDL能在多个级别上对同一逻辑功能进行描述,如可以在寄存器级别上对电路的组成结构进行描述,也可以在行为描述级别上对电路的功能与性能进行描述。无论哪种级别的描述,都可以利用综合工具将描述转化为具体的硬件结构。VHDL的基本结构包含有一个实体和一个结构体,而完整的VHDL结构还包括配置,程序包与库。各种硬件描述语言中,VHDL的抽象描述能力最强,因此运用VHDL进行复杂电路设计时,往往采用自顶向下结构化的设计方法。比较而言,VHDL语言是一种高级描述语言,适用于电路高级建模,综合的效率和效果较好。Verilog-HDL语言是一种低级的描述语言,适用于描述门级电路,容易控制电路资源,但其对系统的描述能力不如VHDL语言。

3.2可编程逻辑器件[11]

可编程逻辑器件(简称PLD)是一种由用户编程来实现某种逻辑功能的新型逻辑器件。它不仅速度快,集成度高,能够完成用户定义的逻辑功能外,还可以加密和重新定义编程,其允许编程次数可多达上万次。使用可编程逻辑器件可大大简化硬件系统,降低成本,提高系统的可靠性,灵活性。因此,自20世纪70年代问世以后,就受到广大工程人员的青睐,被广泛应用于工业控制,通信设备,智能仪表,计算机硬件和医疗电子仪器等多个领域。目前,PLD主要分为FPGA(现场可编程门阵列)和CPLD(复杂可编程逻辑器件)两大类。FPGA和CPLD最明显的特点是高集成度,高速度和高可靠性。高速度表现在其时钟延时可小至纳秒级,结合并行工作方式,在超高速应用领域和实时测控方面有着非常广阔的应用前景;其高可靠性和高集成度表现在几乎可将整个系统集成于同一芯片中,实现所谓片上系统,从而大大缩小了系统体积,也易于管理和屏蔽。

Altera公司是世界上最大的可编程逻辑器件供应商之一。其主要产品有MAX7000/9000,FLEX10K,APEX20K,ACEX1K,Stratix,Cyclone等系列。Altera公司在20世纪90年代以后发展很快,业界普遍认为其开发工具MAX+plusⅡ是最成功的EDA 开发平台之一,QuartusⅡ是MAX+plusⅡ的升级版本。

Xilinx公司是FPGA的发明者,其产品种类较全,主要有XC9500/4000,Spartan,Virtex,Coolrunner(XPLA3)等。Xilinx公司是与Altera公司齐名的可编程逻辑器件供应商,在欧洲用Xilinx器件的人多,在日本和亚太地区用Altera器件的人多,在美国则是平分秋色。全球PLD/FPGA产品60%以上是由Altera和Xilinx提供的。可以讲,Altera和Xilinx共同决定了PLD技术的发展方向。

Lattice公司是ISP(在系统可编程)技术的发明者,其主要产品有ispL2000/5000/8000,MACH4/5,ispMACH4000等。与Altera公司和Xilinx公司相比,Lattice的开发工具略逊一筹,大规模PLD,FPGA的竞争力也不够强,但其中小规模PLD比较有特色。Lattice 于1999年推出可编程模拟器件,现已成为全球第三大可编程逻辑器件供应商。

Actel公司是反熔丝(一次性编程)PLD的领导者。由于其PLD具有抗辐射,耐高低温,功耗低和速度快等优良品质,在军工产品和宇航产品上有较大优势,而Altera和Xilinx公司则一般不涉足军品和宇航市场。

3.3EDA软件[12]

目前在国内比较流行的EDA软件工具主要有Altera公司的MAX+plusⅡ和QuartusⅡ,Lattice公司的Expert LEVER和Synario,Xilinx公司的Foundation和Alliance,Actel公司的Actel Designer等,这四家公司的EDA开发软件特性如表2-1所示。

表3-1EDA开发软件特性

厂商EDA软件名称软件适用器件系列软件支持的描述方式

Altera MAX+plusⅡMAX,FLEX等逻辑图,波形图,AHDL文本,

Verilog-HDL

QuartusⅡMAX,FLEX,APEX等文本,VHDL文本等

Xilinx Foundation XC系列逻辑图,VHDL文本等Alliance Xilinx各种系列

Lattice Expert LEVER IspLSI,pLSI,MACH等逻辑图,VHDL文本等Synario MACH GAL,ispLSI,pLSI等逻辑图,ABEL文本,VHDL文

本等

Actel Actel Designer SX系列,MX系列逻辑图,VHDL文本等

4基带码发生器的设计原理

4.1基带码发生器的原理框图

双极性的码形需要数字部分和模拟电路来共同实现,对双极性的信号如双极性归零码、交替极性码码形输出时引入正负极性标志位,而对双极性非归零码和差分码码形输出时由低电平表示负极性。

基带码发生器的原理框图如图4-1所示:

图4-1基带码发生器的原理框图

图4-2基带码发生器外部接口引脚图

Dat:二进制数据输入端;

Clk:系统时钟输入端;

Start:始能信号输入端;

AMI(0):交替极性码码形输出端;

AMI(1):正负极性标志位输出端;

SRZ(0):双极性信号码形输出端;

SRZ(1):正负极性标志位输出端;

CFM:差分码码形输出端;

CMI:编码信号反转码码形输出端;

DRZ:单极性归零码码形输出端;

FXM:分相码(曼彻斯特码)码形输出端;

NRZ:单极性非归零码码形输出端;

4.2码型转换原理

码型转换的原理如表一所示,其中高位为正负极性标志位,其中高电平表示负极性,低电平表示正极性;

表4-1码型转换原理

5软件设计与仿真

首先由码型的编码原则实现VHDL的编程,使用quartusII进行模拟仿真,然后设计模拟电路,实现编码波形,再通过PCB制板,制作实物。

5.1VHDL程序设计[13][14]

程序如下:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity HS_UJDM is

Port(clk:in std_logic;--系统时钟

Start:in std_logic;--始能信号

dat:in std_logic_vector(15downto0);--二进制数据输入端

NRZ:out std_logic;--非归零信号输出端

DRZ:out std_logic;--单极性归零信号输出端

SRZ:out std_logic_vector(1downto0);--双极性归零信号输出端

AMI:out std_logic_vector(1downto0);--交替极性信号输出端

CFM:out std_logic;--差分信号输出端

CMI:out std_logic;--编码信号反转码信号输出端

FXM:out std_logic);--分相码(曼彻斯特码)信号输出端end HS_UJDM;

architecture Behavioral of HS_UJDM is

begin

process(clk,start)

variable latch_dat:std_logic_vector(15downto0);--十六位二进制信号锁存器

variable latch_sig:std_logic;--高位信号锁存器

variable latch_cfm:std_logic;--差分码信号寄存器

variable latch_cnt:std_logic;--基带码同步信号

variable count_fri:integer range0to8;--分频计数器(码宽定义)

variable count_mov:integer range0to16;--移位计数器

begin

if start='0'then latch_cnt:='0';--异步复位

latch_cfm:='0';latch_sig:='0';

count_fri:=7;count_mov:=16;--异步置位

latch_dat:="0000000000000000";

elsif rising_edge(clk)then count_fri:=count_fri+1;--分频计数器+1

if count_fri=8then count_fri:=0;--计数到8

if count_mov<16then count_mov:=count_mov+1;--移位计数器+1

latch_sig:=latch_dat(15);--二进制码高位移入latch_sig中

latch_dat:=latch_dat(14downto0)&'0';--二进制数据向高位移动一位,低位补零

else latch_dat:=dat;count_mov:=0;--载入下一轮将发送的数据latch_cfm:='0';latch_sig:='0';latch_cnt:='0';--寄存器复位

end if;

if latch_sig='1'then latch_cfm:=not(latch_cfm);--差分码信号寄存器中信号取反end if;

end if;

if count_fri<4then latch_cnt:='1';--基带码同步信号的占空比调节

else latch_cnt:='0';

end if;

end if;--码形转换部分

NRZ<=latch_sig;--非归零码信号

DRZ<=latch_sig and latch_cnt;--单极性归零码信号

SRZ(0)<=latch_cnt;--双极性归零码信号

SRZ(1)<=not(latch_sig);--SRZ(1)=‘1’表示负极性

AMI(0)<=latch_sig and latch_cnt;--极性交替码信号

AMI(1)<=not(latch_cfm);--AMI(1)=‘1’表示负极性CFM<=latch_cfm;--差分码信号

FXM<=latch_cnt xnor latch_sig;--分相码信号

if latch_sig='1'then CMI<=latch_cfm;--编码信号反转码

else CMI<=not(latch_cnt);

end if;

end process;

end Behavioral;

5.2软件仿真

5.2.1编辑和输入设计文件

新建一个文件夹,建立VHDL文件,输入源程序,文件存盘。

图5-1建立VHDL文件

图5-2输入源程序

5.2.2创建工程

打开并建立新工程管理窗口,讲设计文件加入工程中,选择目标芯片,做好相应设置。

图5-3建立工程文件

数字基带信号

数字基带信号 通信系统2007-09-24 16:40:29 阅读1500 评论3 字号:大中小订阅 一,数字基带信号 1.数字基带信号 所谓数字基带信号,就是消息代码的电波形。数字基带信号的类型很多,本节以由矩形脉冲构成的基带信号为例,主要研究这些基带信号的时域波形、频谱波形以及功率谱密度波形。 单极性不归零信号: 设消息代码由二进制符号0、1组成,则单极性不归零信号的时域波形如图5-2-1所示,其中基带信号的0电位对应于二进制符号0;正电位对应于二进制符号1。单极性不归零信号在一个码元时间内,不是有电压(或电流),就是无电压(或电流),电脉冲之间没有间隔,不易区分识别,归零码可以改善这种情况。单极性不归零信号的频域波形和功率谱密度波形分别如图所示。 (1) 时域波形 单极性不归零信号的时域波形 (2) 频谱波形 单极性不归零信号的频谱图 (3) 功率谱密度波形

单极性不归零信号的功率谱密度 单极性归零信号: 设消息代码由二进制符号0、1组成,则单极性归零信号的时域波形如图5-2-4所示,发"1"码时对应于正电位,但持续时间短于一个码元的时间宽度,即发出一个窄脉冲,当发"0"码时,仍然完全不发送电流,所以称这种信号为单极性归零信号。单极性归零信号的频域波形和功率谱密度波形分别如图5-2-5、图5-2-6 所示。 (1) 时域波形 单极性归零信号的时域波形 (2) 频谱波形 单极性归零信号的频谱图 (3) 功率谱密度波形

单极性归零信号的功率谱密度 双极性不归零信号: 设消息代码由二进制符号0、1组成,则双极性不归零信号的时域波形如图5-2-7所示,其中基带信号的负电位对应于二进制符号0;正电位对应于二进制符号1。双极性不归零信号的频域波形和功率谱密度 波形分别如图所示。 (1) 时域波形 双极性不归零信号的时域波形 (2) 频谱波形 双极性不归零信号的频谱图 (3) 功率谱密度波形

通信原理报告 数字基带信号HDB3码型编码转换实现

通信原理课程设计报告 题目:数字基带信号HDB3码型编码转换实现 专业班级: 姓名: 学号: 指导教师:

设计任务要求: 仿真实现数字基带通信系统信源输入24位二进制序列产生HDB3码,通过高斯白噪声信道,接收端滤波、解码的时域图及频谱图。以矩形波为例,要求实现输入24位二进制序列产生AMI码,HDB3码,接收端滤波、解码上述码型。

摘要 HDB3码全称三阶高密度双极性码(英语:High Density Bipolar of Order 3,简称:HDB3码)是一种适用于基带传输的编码方式,它是为了克服AMI码的缺点而出现的,具有能量分散,抗破坏性强等特点。HDB3码实行转换一般分为三个步骤,先将消息码转换AMI码然后加“V”,接着加“B”,这几部我们可以使用C语言进行编程实现。为了实现HDB3码的编码与转换,同时加深对通信系统工作原理的了解,我们采用了MATLAB软件进行编码仿真,同时学习掌握MATLAB软件的基础使用。 关键词:AMI码;HDB3码;编码;解码;MATLAB;仿真

目录 1. 设计原理 (4) 1.1 HDB3码的介绍 (4) 1.2 HDB3码的编码转换规则 (5) 1.3 HDB3码的解码转换规则 (5) 1.4 HDB3码的软件程序设计 (6) 2. MATLAB软件仿真结果及其分析 (10) 2.1 MATLAB软件的介绍 (10) 2.2 仿真结果图示 (12) 2.3 仿真结果分析 (15) 3. 设计总结及心得体会 (22) 4. 参考文献 (22) 5. 致谢 (23)

正文 1.设计原理 1.1 HDB3码的介绍 HDB3码即三阶高密度双极性码(英语:High Density Bipolar of Order 3,简称:HDB3码)是一种适用于基带传输的编码方式,“三阶”通俗讲就是最多3个连0码元,“高密度双极性”就是没有直流分量,不会连续出现+1或-1,它是为了克服AMI码的缺点而出现的,具有能量分散,抗破坏性强等特点。 三阶高密度双极性码用于所有层次的欧洲E-carrier系统,HDB3码将4个连续的"0"位元取代成"000V"或"B00V"。这个做法可以确保连续的相隔单数的一般B记号。 1.2 HDB3的编码转换规则 HDB3码的编码规则主要分为3步: 1 .先将消息代码变换成AMI码,若AMI码中连0的个数小于4,此时的AMI 码就是HDB3码; 2 .若AMI码中连0的个数大于等于4,则将每4个连0小段的第4个0变换成与前一个非0符号(+1或-1)同极性的符号,用表示(+V,-V);

通信原理第四章(数字基带传输系统)习题及其答案

第四章(数字基带传输系统)习题及其答案 【题4-1】设二进制符号序列为110010001110,试以矩形脉冲为例,分别画出相应的单极性码型,双极性码波形,单极性归零码波形,双极性归零码波形,二进制差分码波形。 【答案4-1】 【题4-2】设随机二机制序列中的0和1分别由()g t 和()g t -组成,其出现概率分别为p 和(1)p -: 1)求其功率谱密度及功率; 2)若()g t 为图(a )所示的波形,s T 为码元宽度,问该序列存在离散分量 1 s f T =否? 3)若()g t 改为图(b )所示的波形,问该序列存在离散分量 1 s f T =否?

【答案4-2】 1)随机二进制序列的双边功率谱密度为 2 2 1212()(1)()()[()(1)()]() s s s s s s m P f P P G f G f f PG mf P G mf f mf ωδ∞ -∞=--++--∑ 由于 12()()()g t g t g t =-= 可得: 2 2 22 ()4(1)()(12) ()() s s s s s m P f P P G f f P G mf f mf ωδ∞ =-∞ =-+--∑ 式中:()G f 是()g t 的频谱函数。在功率谱密度()s P ω中,第一部分是其连续谱成分,第二部分是其离散谱成分。 随机二进制序列的功率为 2 2 2 2 2 2 22 1()2 [4(1)()(12)()()] 4(1)()(12)() () 4(1)()(12) () s s s s s m s s s s m s s s m S P d f P P G f f P G mf f mf df f P P G f df f P G mf f mf df f P P G f df f P G mf ωω π δδ∞ ∞ ∞ ∞∞ =-∞ ∞ ∞ ∞ ∞∞ =-∞ ∞ ∞ ∞ =-∞ = =-+--=-+ --=-+-? ∑ ?∑ ?? ∑ ? ----- 2)当基带脉冲波形()g t 为 1 (){2 0 else s T t g t t ≤= ()g t 的付式变换()G f 为

数字基带传输系统作业题及答案

数字基带传输系统作业题 填空题 1数字基带系统产生误码的原因是抽样时刻的和的影响。 2.数字基带系统中常采用均衡器和系统来改善系统的性能。 3.为了衡量基带传输系统码间干扰的程度,最直观的方法是______________。 4.双极性数字基带信号,等概时码速率fs的离散分量,不等概 时fs的离散分量。 5.有限长横向滤波器的作用是码间串扰。 6.码间串扰是在对某码元识别时,其它码元在该的值。 判断题: 1.利用显示均衡波形的眼图可以改善传输性能。 2.对于频带限制在(0,4fm)Hz的时间连续信号m(t),要想无失真的从抽样信号中恢复出m(t),抽样频率至少要为4fmHz。 简答题 1.第一类部分响应系统输入数字码an为11001,试写出预编码后的所有可能bn码以及相关编码后的分别是什么? 2.无码间干扰时,基带传输系统的误码率取决于哪些参数?怎样才能降低系统的误码率?3.(15分)已知信息代码为100000110000011; (1)试确定相应的AMI码及HDB3码; (2)并分别画出他们的单极性不归零波形图; (3)设数字基带传输系统的频带宽度为9KHZ,若采用α=0.5的滚降系统特性,请确定无码间串扰的最高传码率及频带利用率。 4. ( 12分)若传送的数据为11000001100110000101,则相应的HDB3码为何? 如果数据等概且独立地取1或0,相应的HDB3码通过某数字基带系统传 送,其系统响应h(t)= cos((t/4Ts) 0( t (3Ts Ts为码宽, 0 其它t 简要说明该系统是否存在码间串扰? 5.二进制数字基带信号1011000101,通过第I类部分响应系统进行传输。 1.试画出第I类部分响应系统原理方框图; 2.由上述基带码通过第I类部分响应系统的变换过程验证信号传输的正确性。 6. 数字基带传输系统的传输特性H(ω)如下图, 当传输速率分别为fb=2w、fb=3w时,画图分析在抽样点上是否有码间串扰? 1.码间串扰;加性噪声; 2.时域;部分响应; 3.眼图; 4..不存在.存在 5..减小 6.抽样时刻 (╳)(╳) 1.an: 1 0 1 0 1 预编码后bn码:0 1 1 0 0 1 相关编码后码: 1 2 1 0 1 2.无码间干扰时基带传输系统的误码率依赖于信号峰值A与噪声均方根值之比,而与采用什么样的信号形式无关,但这里的信号形式必须是能够消除码间干扰的。 若比值越大,则误码率就越小。

通信原理------数字基带传输实验报告

基带传输系统实验报告 一、实验目的 1、提高独立学习的能力; 2、培养发现问题、解决问题和分析问题的能力; 3、学习matlab的使用; 4、掌握基带数字传输系统的仿真方法; 5、熟悉基带传输系统的基本结构; 6、掌握带限信道的仿真以及性能分析; 7、通过观察眼图和星座图判断信号的传输质量。 二、实验原理 在数字通信中,有些场合可以不经载波调制和解调过程而直接传输基带信号,这种直接传输基带信号的系统称为基带传输系统。 基带传输系统方框图如下: 基带传输系统模型如下:

各方框的功能如下: (1)信道信号形成器(发送滤波器):产生适合于信道传输的基带信号波形。因为其输入一般是经过码型编码器产生的传输码,相应的基本波形通常是矩形脉 冲,其频谱很宽,不利于传输。发送滤波器用于压缩输入信号频带,把传输 码变换成适宜于信道传输的基带信号波形。 (2)信道:是基带信号传输的媒介,通常为有限信道,如双绞线、同轴电缆等。信道的传输特性一般不满足无失真传输条件,因此会引起传输波形的失真。另 外信道还会引入噪声n(t),一般认为它是均值为零的高斯白噪声。 (3)接收滤波器:接受信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 (4)抽样判决器:在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 (5)定时脉冲和同步提取:用来抽样的位定时脉冲依靠同步提取电路从接收信号中提取。 三、实验内容 1采用窗函数法和频率抽样法设计线性相位的升余弦滚讲的基带系统(不调用滤波器设计函数,自己编写程序) 设滤波器长度为N=31,时域抽样频率Fo为 4 /Ts,滚降系数分别取为、、1,

通信原理报告数字基带信号HDB3码型编码转换实现

通信原理课程设计报告题目:数字基带信号HDB3码型编码转换实现 专业班级: 姓名: 学号:

指导教师: 设计任务要求: 仿真实现数字基带通信系统信源输入24位二进制序列产生HDB3码,通过高斯白噪声信道,接收端滤波、解码的时域图及频谱图。以矩形波为例,要现输入24位二进制序列产生AMI码,HDB3码,接收端滤波、解码上述码型。

摘要 HDB3码全称三阶高密度双极性码(英语:High Density Bipolar of Order 3,简称:HDB3码)是一种适用于基带传输的编

码方式,它是为了克服AMI码的缺点而出现的,具有能量分散,抗破坏性强等特点。HDB3码实行转换一般分为三个步骤,先将消息码转换AMI码然后加“V”,接着加“B”,这几部我们可以使用C语言进行编程实现。为了实现HDB3码的编码与转换,同时加深对通信系统工作原理的了解,我们采用了MATLAB软件进行编码仿真,同时学习掌握MATLAB软件的基础使用。 关键词:AMI码;HDB3码;编码;解码;MATLAB;仿真 目录 1. 设计原理 (4) 1.1 HDB3码的介绍 (4)

1.2 HDB3码的编码转换规则 (5) 1.3 HDB3码的解码转换规则 (5) 1.4 HDB3码的软件程序设计 (6) 2. MATLAB软件仿真结果及其分析 (10) 2.1 MATLAB软件的介绍 (10) 2.2 仿真结果图示 (12) 2.3 仿真结果分析 (15) 3. 设计总结及心得体会 (22) 4. 参考文献 (22) 5. 致 (23)

正文 1.设计原理 1.1 HDB3码的介绍 HDB3码即三阶高密度双极性码(英语:High Density Bipolar of Order 3,简称:HDB3码)是一种适用于基带传输的编码方式,“三阶”通俗讲就是最多3个连0码元,“高密度双极性”就是没有直流分量,不会连续出现+1或-1,它是为了克服AMI码的缺点而出现的,具有能量分散,抗破坏性强等特点。 三阶高密度双极性码用于所有层次的欧洲E-carrier系统,HDB3码将4个连续的"0"位元取代成"000V"或"B00V"。这个做法可以确保连续的相隔单数的一般B记号。 1.2 HDB3的编码转换规则 HDB3码的编码规则主要分为3步: 1 .先将消息代码变换成AMI码,若AMI码中连0的个数小于4,此时的AMI 码就是HDB3码;

通信原理数字基带传输系统习题及其答案

第四章(数字基带传输系统)习题及其答案 【题4-1】设二进制符号序列为,试以矩形脉冲为例,分别画出相应的单极性码型,双极性码波形,单极性归零码波形,双极性归零码波形,二进制差分码波形。 【答案4-1】 【题4-2】设随机二机制序列中的0和1分别由()g t 和()g t -组成,其出现概率分别为p 和(1)p -: 1)求其功率谱密度及功率; 2)若()g t 为图(a )所示的波形,s T 为码元宽度,问该序列存在离散分量 1 s f T =否 3)若()g t 改为图(b )所示的波形,问该序列存在离散分量1 s f T =否 【答案4-2】 1)随机二进制序列的双边功率谱密度为 由于 可得: 式中:()G f 是()g t 的频谱函数。在功率谱密度()s P ω中,第一部分是其连续谱成分,第二部分是其离散谱成分。 随机二进制序列的功率为 2)当基带脉冲波形()g t 为 ()g t 的付式变换()G f 为 因此 式中: 1 s s f T = 。 所以,该二进制序列不存在离散分量。 3)当基带脉冲波形()g t 为

()g t 的付式变换()G f 为 因此 式中: 1s s f T = 。 所以,该二进制序列存在离散分量。 【题4-3】设二进制数字基带信号的基本脉冲序列为三角形脉冲,如下图所示。图中s T 为码元宽度,数字信息1和0分别用()g t 的有无表示,且1和0出现的概率相等: 1)求数字基带信号的功率谱密度; 2)能否重该数字基带信号中提取同步所需的频率1 s s f T =的分量若能,计 算该分量的功率。 【答案4-3】 1)由图得 ()g t 的频谱函数()G ω为 由题设可知 所以 代入二进制数字基带信号的双边功率谱密度函数表达式,可得 2)二进制数字基带信号的离散谱分量()v P ω为 当1m =±时,s f f =±,代入上式可得 因为该二进制数字基带信号中存在1s s f T =的离散分量,所以能从该数字基带信号中提取码元同步所需的频率1s s f T =的分量。 该频率分量的功率为 【题4-5】已知信息代码为,求相应的AMI 码、HDB3码、PST 码及双相码。 【答案4-5】 AMI 码: +10000 0000 –1+1 HDB3码; +1000+V-B00-V0+1-1

数字基带传输常用码型的MATLAB表示

数字基带传输常用码型的MATLA表示 在某些具有低通特性的有线信道中,特别是传输距离较近的情况下,数字基带信号不经调制可以直接传输,这种系统称为数字基带系统。而具有调制解调过程的数字系统称为数字带通传输系统。在第七章中,将列举数字带通传输系统仿真的例子,在本章中,我们重点讨论数字基带常用码型的产生,即数字基带信号的产生。教材中,我们以单极性不归零码和单极性不归零码的实现作为参考。 单极性不归零码MATLA程序如下: function y=snrz(x) % 本函数实现输入二进制码,输出编号的单极性非归零码 % 输入x 为二进制码,输出y 为单极性非归零码 num=200; % 单极性非归零码每一个码元包含的点 t=0:1/num:length(x); for i=1:length(x); if x(i)==1; for j=1:num; y((i-1)*num+j)=1; % 对应的点赋值为1 end else for j=1:num; y((i-1)*num+j)=0; % 对应的点赋值为0 end end end y=[y,x(i)]; % 为了绘制图形,注意要将y 序列加最后一位 plot(t,y); grid on; axis([0 i -0.2 1.2]); title(' 单极性非归零码1 0 0 1 0 1'); % 绘图 在MATLA命令行窗口中键入x的值,并调用函数snrz(x),就可以得到对应的单极性不归零码。如输入以下指令,将出现图 1 所示的结果。

单极性不归零码MATLA 程序如下: fun ctio n y=srz(x) %本函数实现输入二进制码,输出编号的单极性归零码 %输入x 为二进制码,输出y 为单极性归零码 plot(t,y); grid on; axis([0 i -0.2 1.2]); title(' 单极性非归零码 1 0 0 1 0 1'); num=200; %单极性非归零码每 t=0:1/num:le ngth(x); for i=1:le ngth(x); if x(i)==1; for j=1: nu m/2; y((i*2-2)* num/2+j)=1; % y((i*2-1)*num/2+j)=0; % end else for j=1: num; y((i-1)*num+j)=0; % end end end y=[y,x(i)]; % 个码元包含的点 对1而言,前半部分时间值为1 对1而言,后半部分时间值为0 对应的点赋值为0 为了绘制图形,注意要将 y 序列加最后一位 单极性非归零码1 0 0 1 0 1 图1单极性不归零码

基带传输常用码型及基带信号频谱实验

基带传输常用码型及基带信号频谱实验 一、实验目的 1、熟悉通信基带信号功率谱基本原理 2、熟悉SYSTEMVIEW软件的信号谱分析应用 3、掌握使用SYSTEMVIEW软件生成最常用基带信号与数字双相传输码的基本方法 二、实验原理: 1、数字基带信号的频谱特性 数字基带信号是随机的脉冲序列,只能用功率谱来描述它的频谱特性。研究好数字基带信号的功率谱,就可以了解信号带宽,有无直流分量,有无定时分量。这样才能选择匹配的信道,确定是否可提取定时信号。 经过合理假设下的严格数学推导,可以得到以下主要结论: (1)随机脉冲序列功率谱包括连续谱和离散谱; (2)单极性信号中有无离散谱取决于矩形脉冲的占空比,归零信号中有定时分量。不归零信号中无定时分量。0、1等概的双极性信号没有离散谱,即同时没有直流分量和定时分量。 (3)随机序列的带宽主要依赖单个码元波形的频谱函数G1(f)或G2(f),通常以谱的第一个零点作为矩形脉冲的近似带宽,它等于脉宽τ的倒数。 2、传输系统发射与信道部分的基本结构如图2—1所示。如果系统直接传送基带信号,称之为基带传输系统。 图2—1 在基带传输系统中,系统的输入是数字基带信号,它不一定适合直接在信道中传输。信道信号形成器的作用就是把原始基带信号变换成适合于信道传输的基带信号,这种变换主要是通过码型变换和波形变换来实现的,其目的是与信道匹配,便于传输,减小码间串扰,利于同步提取和抽样判决。称此信号形成器为数字基带调制器;与此对应的,在接收端将信道基带信号变换成原始数字基带信号,称之为基带解调器。 3、数字基带调制器中的波形变换与码型变换 在数字基带调制器中,波形变换后传输电波形常见的有矩形脉冲、三角波、高斯脉冲和升余弦脉冲波形等。最常用的是矩形脉冲波形,正如我们在前面通原

基带信号常用码型转换

通信原理大作业 用matlab仿真 1.幅频失真 S(t)=sint+1/3sin3t, S’(t)=sint+sin3t; 相频失真 S(t)=sint+1/3sin3t, S’(t)=sin(t+2pi)+1/3sin(3t+3pi). 程序: x=0:pi/20:3*pi; y1=sin(x)+(sin(3*x))/3; y2=sin(x)+sin(3*x); y3=sin(x+2*pi)+(sin(3*x+3*pi))/3; figure(1) plot(x,y1); hold on plot(x,y2,'r-'); legend('S(t)=sint+1/3sin3t','S(t)=sint+sin3t') figure(2) plot(x,y1); hold on plot(x,y3,'r-'); legend('S(t)=sint+1/3sin3t','S(t)=sin(t+2*pi)+1/3sin(3t+3*pi)')

幅频失真 相频失真

2. 将输入的一串0,1编码 1) 转换成AMI 码 2) 转换成HDB3码 3) 转换成双相码 4) 转换成Miller 码 5) 转换成CMI 码 总流程 开始 输入数组 依次显示五种码形 结束 转换成AMI 码 转换成CMI 码 转换成 HDB3 码 转换成双相码 转换成Miller 码

转化成五种码具体流程 思路:数组xn 中0保持不变;并统计1个数,当为偶数1保持不变;当为奇数1变换为-1 1) 转换成AMI 码 no no no 得到数组xn Xn (i )是否=1 num=num+1 num 是否为偶数 得到数组xn 长度k i=1; num=0 yn(i)=xn(i) yn(i)=xn(i) yn(i)= -xn(i) i 是否=k 得到数组yn i=i+1

数字基带信号的传输码型

基带数字信号的表示和传输 图1-1:基带传输模型图 1)信号形成器:产生适合于信道传输的基带信号波形。 2)信道:允许基带信号通过的媒介。 3)接收滤波器:用来接收信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带信号有利于判决。 4)抽样判决器:在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对将接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 5)定时脉冲和同步提取:用来抽样的位定时脉冲依靠同步提取电路从接收信号中提取,位定时的准确性将直接影响判决效果。 2.常见的数字基带传输码型 (1)AMI AMI(Alternative Mark Inversion)码的全称是传号交替反转码,其编码规则是三元码,“1”交替地变换为“+1”和“-1”,“0”保持不变采用归零码,脉冲宽度为码元宽度之半“0”,“1”不等概时也无直流;零频附近的低频分量小;频率集中在1/2码速处;编解码电路简单,且可以利用传号极性交替这一规律观察五码情况;整流成归零码之后,从中可以提取定时分量。 连0码多时,AMI 整流后的RZ 码连0也多,不利于提取高质量的位同步信号。AMI 码的波形图如图1-6所示: 1 011100000000111 +1-1000000000+1+1+1-1-1二进制码 二进制波形AMI 波形 AMI 码 图1-4 数字基带传输系统模型

图1-6 AMI 码波形 (2)HDB 3码 HDB 3(3nd Order High Density Bipolar)码的全称是三阶高密度双极性码, 是AMI 码的一种改进,保持了AMI 码的优点,使“0”连续不超过3个。其编码规则为:“1”交替地变换为+1与-1的半占空归零码,但连“0”数小于或者等于3。当连“0”数等于4时,用取代节“000V ”或者“B00V ”代替,“V ”的极性与前一个非零符号的极性相同(这破坏了极性交替的规则,所以V 又称为破坏脉冲);并要求相邻的“V ”也满足极性必须交替。V 的取值为+1或-1.B 的取值可以是0、+1、-1,以使V 同时满足(3)中的要求。 HDB3码波形如下: 1 011100000000111 +1-10000+V -B 00-V +1-1 +1-1+1二进制码 二进制波形HDB3码波形 HDB3码图1-7 HDB 3码波形 (3)双相码 双向码又称为曼彻斯特(Manchester)码,用一个周期的正负对称方波表示“0”,而用其反相波形表示“1”,其编码规则:“1”用“10”表示,“0”用“01”表示,是一种双极性不归零波形,只有极性相反的两个电平;每个码元中心都有电平跳变,含有丰富的定时信息,且没有直流分量,编码过程也简单;缺点是占用带宽加宽,使频率利用率降低。双相码波形如下: 1 01110000011 二进制码 二进制波形双相码波形 双相码1001100110101010 01010101 图1-8 双相码波形

数字基带传输常用码型的MATLAB表示

数字基带传输常用码型的MATLAB表示 在某些具有低通特性的有线信道中,特别是传输距离较近的情况下,数字基带信号不经调制可以直接传输,这种系统称为数字基带系统。而具有调制解调过程的数字系统称为数字带通传输系统。在第七章中,将列举数字带通传输系统仿真的例子,在本章中,我们重点讨论数字基带常用码型的产生,即数字基带信号的产生。教材中,我们以单极性不归零码和单极性不归零码的实现作为参考。 单极性不归零码MA TLAB程序如下: function y=snrz(x) % 本函数实现输入二进制码,输出编号的单极性非归零码 % 输入x为二进制码,输出y为单极性非归零码 num=200; % 单极性非归零码每一个码元包含的点 t=0:1/num:length(x); for i=1:length(x); if x(i)==1; for j=1:num; y((i-1)*num+j)=1; % 对应的点赋值为1 end else for j=1:num; y((i-1)*num+j)=0; % 对应的点赋值为0 end end end y=[y,x(i)]; % 为了绘制图形,注意要将y序列加最后一位 plot(t,y); grid on; axis([0 i -0.2 1.2]); title('单极性非归零码1 0 0 1 0 1'); % 绘图 在MATLAB命令行窗口中键入x的值,并调用函数snrz(x),就可以得到对应的单极性不归零码。如输入以下指令,将出现图1所示的结果。

单极性非归零码 1 0 0 1 0 1 0123456 图1 单极性不归零码 单极性不归零码MA TLAB程序如下: function y=srz(x) % 本函数实现输入二进制码,输出编号的单极性归零码 % 输入x为二进制码,输出y为单极性归零码 num=200; % 单极性非归零码每一个码元包含的点 t=0:1/num:length(x); for i=1:length(x); if x(i)==1; for j=1:num/2; y((i*2-2)*num/2+j)=1; % 对1而言,前半部分时间值为1 y((i*2-1)*num/2+j)=0; % 对1而言,后半部分时间值为0 end else for j=1:num; y((i-1)*num+j)=0; % 对应的点赋值为0 end end end y=[y,x(i)]; % 为了绘制图形,注意要将y序列加最后一位 plot(t,y); grid on; axis([0 i -0.2 1.2]); title('单极性非归零码1 0 0 1 0 1'); 同上,在MATLAB命令行窗口中键入x的值,并调用函数srz(x),就可以得到对应的单极性归零码。如输入以下指令,将出现图2所示

实验3基带信号的常见码型变换

信息院 14电本 基带信号的常见码型变换实验 一、实验目的 1.熟悉RZ 、BNRZ 、BRZ 、CMI 、曼彻斯特、密勒、PST 码型变换原理及工作过程; 2.观察数字基带信号的码型变换测量点波形。 二、实验仪器 1.时钟与基带数据发生模块,位号:G 2.20M 双踪示波器1台 三、实验工作原理 在实际的基带传输系统中,传输码的结构应具有下列主要特性: 1) 相应的基带信号无直流分量,且低频分量少; 2) 便于从信号中提取定时信息; 3) 信号中高频分量尽量少,以节省传输频带并减少码间串扰; 4) 不受信息源统计特性的影响,即能适应于信息源的变化; 5) 编译码设备要尽可能简单 1.1 单极性不归零码(NRZ 码) 单极性不归零码中,二进制代码“1”用幅度为E 的正电平表示,“0”用零电平表示,单极性码中含有直流成分,而且不能直接提取同步信号。 0000 E +1111 图16-1 单极性不归零码 1.2 双极性不归零码(BNRZ 码) 二进制代码“1”、“0”分别用幅度相等的正负电平表示,当二进制代码“1”和“0”等概出现时无直流分量。 10111000E +E -0 图 16-2 双极性不归零码 1.3 单极性归零码(RZ 码) 单极性归零码与单极性不归零码的区别是码元宽度小于码元间隔,每个码元脉冲在下一个码元到来之前回到零电平。单极性码可以直接提取定时信息,仍然含有直流成分。

0000 1111E +0 图 16-3 单极性归零码 1.4 双极性归零码(BRZ 码) 它是双极性码的归零形式,每个码元脉冲在下一个码元到来之前回到零电平。 0000 1111E +0E - 图 16-4 双极性归零码 1.5 曼彻斯特码 曼彻斯特码又称为数字双相码,它用一个周期的正负对称方波表示“0”,而用其反相波形表示“1”。编码规则之一是:“0”码用“01”两位码表示,“1”码用“10”两位码表示。 例如: 消息代码: 1 1 0 0 1 0 1 1 0… 曼彻斯特码:10 10 01 01 10 01 10 10 01… 曼彻斯特码只有极性相反的两个电平,因为曼彻斯特码在每个码元中期的中心点都存在电平跳变,所以含有位定时信息,又因为正、负电平各一半,所以无直流分量。 0000 1111E +E -0 图 16-5 曼彻斯特编码 1.6 CMI 码 CMI 码是传号反转码的简称,与曼彻斯特码类似,也是一种双极性二电平码,其编码规则: “1”码交替的用“11“和”“00”两位码表示; “0”码固定的用“01”两位码表示。 例如: 消息代码:1 0 1 0 0 1 1 0… CMI 码: 11 01 00 01 01 11 00 01… 或: 00 01 11 01 01 00 11 01…

基于simulink的数字基带传输系统仿真

基于s i m u l i n k的数字基带传输系统仿真 Company Document number:WUUT-WUUY-WBBGB-BWYTT-1982GT

基于s i m u l i n k的数字基带传输系统仿真 【摘要】本课题主要是通过构建数字基带传输系统的各组成模块,包括信号发送,信号接受,谱分析和误码分析部分,从而对数字基带传输系统有深刻的认识。主要研究方法是利用Simulink软件进行数字基带传输系统的仿真,将各组成模块连接与封装,从而仿真出整个基带传输系统,最后通过调节噪声方差值的不同,运行并分析结果。研究的目的在于,熟悉基带传输系统各个环节,从而对基带传输系统有更深刻的了解。仿真的结果表明,在噪声较小的情况下误码率较小,较大的情况下则较大,而且各个模块基本可以完成其相对应的功能。本课题使用的MATLAB软件是当今最优秀的科技应用软件之一,它在许多科学领域中成为计算机辅助设计和分析、算法研究和应用开发的基本工具和首选平台。 【关键词】数字基带传输系统;升余弦滤波器;数字基带信号;SIMULINK 1 引言 通过对计算机仿真的了解,对计算机仿真在工程领域的运用,可以体会到它的优点仿真软件matlab在控制领域以及通信,数字信号处理等等领域都有它强大的生命力。其功能的完善奠定了它在各个领域的仿真的地位。通过对simulink的使用会对数字基带传输系统的各个部分具有更加直观而深刻的理解,对通信系统的仿真,以及各个波形的仿真,可以很直观的理解各个模块的功能以及注意的问题。需要仿真的包括基带信号,发送滤波器、接受滤波器、信道、定时系统、抽样判决系统、误码率分析模块眼图模块。 现在通信系统是非常复杂和庞大的大规模系统,在各种噪声和干扰的存在下,一般很难通过解析的方法求得系统的精确数学描述。在这种情况下系统仿真就成为了一个极为有效的工具[2]。此外,在对现代通信系统协议、新算法和新体系结构的设计当中,直接进行试验测试几乎是不可能的,因为这些新系统、新算法、和新的体系结构根本就还没有实现,在这种情况下只能通过仿真来检验所考察的对象,从而验证这些新的结论,以及方法。在将来的科研以及教学当中matlab/simulink将会发挥很大的作用,一些科研所都在使用此软件,所以作为学生,或是研究者很有必要掌握这个重要的软件,从而对自己的工作或是学习带来方便。 2 基带传输系统介绍 基本传输系统 信号波形,传输码型,以及频谱特性的是研究的重点,核心是研究如何设计基带传输总特性,以消除码间串扰,以及如何有效地减小信道加性噪声的影响,从而提高系统抗噪声性能。最后通过眼图,误码率仪,等观测系统性能。整个传输系统的构成主要有:信源,发送设备,信道,接收设备,同步定时设备,判决抽样设备,误码率仪,眼图,功率谱仪等构成。其构成框图如下:图3-5数字基带信号传输系统的组成 1、信道信号形成器(发送滤波器):压缩输入信号频带,把传输码变换成适宜于信道传输的基带信号波形。 2、信道:信道的传输特性一般不满足无失真传输条件,因此会引起传输波形的失真。另外信道还会引入噪声n(t) ,并假设它是均值为零的高斯白噪声。 3、接收滤波器:它用来接收信号,滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 4、抽样判决器:对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 5、同步提取:用同步提取电路从接收信号中提取定时脉冲。 传输系统各点波形

数字基带信号传输码型发生器设计

武汉理工大学《FPGA原理与应用》课程设计 课程设计任务书 学生姓名:蒋立豪专业班级:通信1303 指导教师:陈适工作单位:信息工程学院 题目: 数字基带信号传输码型发生器设计 初始条件: FPGA芯片(型号不限),ISE仿真软件。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写 等具体要求) 要求每位选课同学提交一篇关于FPGA的应用设计报告,选择的FPGA芯片不限,选用的仿真工具不限。格式要求按照课程设计报告的标准格式完成,包括:常见的几种基带码: 1.单极性非归零码 2.双极性非归零码 3.单极性归零码 4.双极性归零码 5.差分码 6.交替极性码 7.分相码 8.编码信号反转码 指导教师签名:年月日 系主任(或责任教师)签名:年月日 武汉理工大学《FPGA原理与应用》课程设计

武汉理工大学《FPGA原理与应用》课程设计 摘要 数字通信是信息经编码变换处理后,以数字信号在信道上传输的,较之于模拟通信有很大的优点。数字通信有基带传输和频带传输两种方式,而基带传输系统在数字通信中有重要的代表性。在实际的基带传输系统中,并不是所有类型的基带电波形都能在信道中传输,因此,基带传输的传输码型变换是传输过程的重要环节,因此对于传输码型的设计有一定的要求。了解常用码型及存在的误码原因,对传输码型进行初步的研究。本文主要设计一个基于FPGA 的数字基带信号发生器,首先简要介绍了单极性非归零码、双极性非归零码、单极性归零码、双极性归零码、差分码、交替极性码、分相码、传号反转码等基带码的基本特点,然后根据码型转换原理设计发生器模块。由于EDA 技术可以简化电路,集成多块芯片,减小电路体积,所以程序采用VHDL 进行描述,并用ISE 软件仿真实现所有功能,最后将功能集成到FPGA 上,并设计电路,产生的基带码稳定、可靠,可满足不同数字基带系统传输需要。 关键词:数字通信,基带传输,EDA,VHDL,FPGA I 武汉理工大学《FPGA原理与应用》课程设计 Abstract Digital communication is information after processing, transform coding, to digital signal transmission in the channel. Compared with analog communication has great advantages. Digital communication baseband transmission and the transmission frequency band in two ways, and baseband transmission system in digital communication have an important representative. In the actual baseband transmission system, and not all types of baseband wave form can transmit in the channel, therefore, baseband transmission of the transmission code transformation is an

基于MATLAB的数字基带传输系统的仿真-课程设计

通信工程专业《通信仿真综合实践》研究报告 基于MATLAB的数字基带传输系统的仿真设计 学生姓名:*** 学生学号:20***** 指导教师:** 所在学院:信息技术学院 专业班级:通信工程 中国 2016 年5月

信息技术学院 课程设计任务书 信息技术院通信工程专业 20** 级,学号 201***** 姓名 **** 一、课程设计课题: 基于MATLAB的数字基带传输系统的仿真设计 二、课程设计工作日自 2016 年 5 月 12 日至 2016 年 5 月 24 日 三、课程设计进行地点:图书馆 四、程设计任务要求: 1.课题来源: 指导教师指定题目 2.目的意义:. 1)综合应用《掌握和精通MATLAB》、《通信原理》等多门课程知识,使学生建立通信系统的整体概念 2)培养学生系统设计与系统开发的思想 3)培养学生独立动手完成课程设计项目的能力 3.基本要求: 1) 数字基带信号直接送往信道: 2)传输信道中的噪声可以看作加性高斯白噪声 3)可用滤波法提取定是信号 4)对传输系统要有清楚的理论分析 5)把整个系统中的各个子系统自行构造,并对其性能进行测试 6)最终给出信号的仿真结果(信号输出图形) 课程设计评审表

基于MATLAB 的数字基带传输系统的仿真 概述 :本课程设计主要研究了数字信号的基带传输的基本概念及数字信号基带传输的传输过程和如何用MATLAB 软件仿真设计数字基带传输系统。首先介绍了本课题的理论依据及相关的基础知识,包括数字基带信号的概念,数字基带传输系统的组成及各子系统的作用,及数字基带信号的传输过程。最后按照仿真过程基本步骤用MATLAB 的仿真工具实现了数字基带传输系统的仿真过程,对系统进行了分析。 第一部分 原理介绍 一、数字基带传输系统 1)数字基带传输系统的介绍 未经调制的数字信号所占的频谱是从零频或很低频率开始,称为数字基带信号。在某些具有低通特性的有线信道中,特别是在传输距离不太远的情况下,基带信号可以不经载波调制而直接传输。这种不经载波调制直接传输数字基带信号的系统,称为数字基带传输系统。 数字基带系统的基本结构可以由图1 的模型表示.其中包括发送滤波器、传输信道、接收滤波器、抽样判决等效为传输函数为H (w) 基带形成网络,对于无码间干扰的基带传输系统来说, H (w) 应满足奈奎斯特第一准则, 在实验中一般取H (w) 为升余弦滚降特性.在最佳系统下, 取C(w) = 1,GT (w) 和GR(w) 均为升余弦平方根特性.传输信道中的噪声可看作加性高斯白噪声, 用产生高斯随机信号的噪声源表示. 位定时提取电路,在定时精度要求不高的场合, 可以用滤波法提取定时信号,滤波法提取位定时的原理可用图2表示。 图1 基带传输系统模型 设发送滤波器的传输特性 , 则 ω ωπ d e H t g jwt R ? ∞ ∞ -= )(21 )()(ωT G

数字基带信号基本码型时频域分析

简明通信原理实验 报告四

Matlab 实验一数字基带信号基本码型时 频域分析 一、仿真内容: 1、样例程序给出了两种方法求单极性非归零码和单极性归零码的功率谱密度(PSD)的代码,观察时域波形和 PSD 图并保存,指出单极性归零码的占空比,减小和增大占空比再进行仿真,观察时域波形和PSD 图的变化,保存结果并对不同占空比时的实验结果进行比较和分析说明。 2、画出求双极性非归零码和双极性归零码时域波形和 PSD 图的流程图,用两种方法编写程序,绘制时域波形和 PSD 图,取三种不同的占空比,比较不同占空比双极性归零码的时域波形和 PSD 变化,对结果进行分析说明。 3、给出仿真预习与准备中问题的答案,编写用方法三求四种基本码型 PSD 的程序并绘制 PSD 图,与方法一、二的结果进行比较。 二、仿真结果: 1、样例程序:求单极性非归零码和单极性归零码的功率谱密度(PSD)的代码 clear all; close all; Ts = 1; N_sample = 8; dt = Ts/N_sample; N = 1000; fs = 1/dt; t = 0:dt:(N*N_sample-1)*dt; T = Ts*N*N_sample; gt1 = ones(1,N_sample);

gt2 = ones(1,N_sample/2); gt2 = [gt2 zeros(1,N_sample/2)]; d = (sign(randn(1,N))+1)/2; data = upsample(d,N_sample); st1 = conv(data,gt1); st2 = conv(data,gt2); data = upsample(d,N_sample); %%方法一求PSD。 au_st1 = conv(st1,fliplr(st1))./length(st1); au_st2 = conv(st2,fliplr(st2))./length(st2); [f1,st1f] = myt2f(au_st1,fs); [f2,st2f] = myt2f(au_st2,fs); figure subplot(221) plot(t,[st1(1:length(t))]);grid axis([0 20 -1.5 1.5]) xlabel('单极性NRZ波形'); subplot(222) plot(f1,10*log10(abs(st1f))) xlabel('单极性NRZ功率谱密度(dB/Hz)'); subplot(223) plot(t,[st2(1:length(t))]);grid axis([0 20 -1.5 1.5]) xlabel('单极性RZ波形'); subplot(224) plot(f2,10*log10(abs(st2f))) xlabel('单极性RZ功率谱密度(dB/Hz)'); %%方法二求PSD。 [f1,st1f] = myt2f(st1,fs); [f2,st2f] = myt2f(st2,fs); figure subplot(221) plot(t,[st1(1:length(t))]);grid axis([0 20 -1.5 1.5]) xlabel('单极性NRZ波形'); subplot(222) plot(f1,10*log10(abs(st1f).^2/T)) xlabel('单极性NRZ功率谱密度(dB/Hz)'); subplot(223) plot(t,[st2(1:length(t))]);grid axis([0 20 -1.5 1.5]) xlabel('单极性RZ波形'); subplot(224) plot(f2,10*log10(abs(st2f).^2/T))

相关文档
最新文档