中国石油大学数电课程设计

中国石油大学数电课程设计
中国石油大学数电课程设计

2013—2014学年第二学期《数字电子技术课程设计》报告

专业班级电气1201

姓名

学号 12053117

开课系室电工电子学教学中心

设计日期 2014年6月29 日~7月3日

1、设计题目: (1)

2、设计任务及要求: (1)

2.1 设计任务 (1)

2.2 具体要求 (1)

3、电路的设计与仿真 (6)

3.1各功能模块的程序及仿真 (6)

3.1.1模式一 (6)

3.1.2模式二 (7)

3.1.3模式三 (8)

3.1.4模式四 (9)

3.1.5模式五 (10)

3.1.6模式六 (11)

3.1.7模式七 (12)

3.1.8模式八 (13)

3.19译码器 (14)

3.1.10分频器 (15)

3.2将各模块连接后的电路图: (16)

4、附加功能 (18)

4.1各模块程序 (18)

4.1.1 Verilog源文件: (18)

4.1.2分频器 (20)

4.2电路图 (20)

4.3功能仿真波形: (21)

5、总结与讨论 (21)

附录 (24)

1、设计题目:

彩灯循环控制电路设计

2、设计任务及要求:

2.1 设计任务

装饰用的彩灯在日常生活中使用的非常普遍,比如在节假日里,为了增加节日的气氛,许多商场都用各种各样的彩灯进行装饰。虽然彩灯有各种样式,但其控制原理很简单。本次的课程设计内容就是实现一个有多种工作模式的彩灯控制系统。

2.2 具体要求

本实验要完成任务就是设计一个简单彩灯循环控制电路,彩灯显示用实验箱的LED灯模块和七段码管中的任意二个来显示。系统时钟选择时钟模块的1MHz时钟,彩灯闪烁时钟要求为2Hz。假设系统板上的LED从左到右依次定义为L1,L2,L3,L4,L5,L6,L7,L8。彩灯循环的具体要求如下:

模式一:从右到左,LED灯依次点亮,首先是L8灯亮,间隔0.5秒(2HZ)后,L7灯亮,再间隔0.5秒后,L6灯亮,依次进行直至8个灯都点亮。当8个灯都点亮后,从左到右,间隔0.5秒,依次熄灭,即首先熄灭L1灯,然后间隔0.5秒,熄灭L2灯,直到所有灯都熄灭。模式一结束。

模式二:从右到左,LED灯依次点亮,首先是L8灯亮,间隔0.5秒(2HZ)后,L8灯熄灭,L7灯亮,再间隔0.5秒后,L7灯熄灭,L6灯亮,依次进行直至L1灯点亮。当L1灯点亮后,从左到右,间隔0.5秒,点亮的灯依次循环,即首先熄灭L1灯,点亮L2灯,然后间隔0.5秒,熄灭L2灯,点亮L3灯,直到L8灯点亮。间隔0.5

秒钟后,L8灯熄灭,模式二结束。

模式三:从右到左,LED灯依次点亮,首先是L8,L7灯亮,间隔0.5秒(2HZ)后,L8灯熄灭,L7,L6灯亮,再间隔0.5秒后,L7灯熄灭,L6,L5灯亮,依次进行直至L2,L1灯点亮。当L1,L2灯点亮后,从左到右,间隔0.5秒,点亮的灯依次循环,即首先熄灭L1灯,点亮L2,L3灯,然后间隔0.5秒,熄灭L2灯,点亮L3,L4灯,直到L7,L8灯点亮。间隔0.5秒钟后,L7,L8灯熄灭,模式三结束。

模式四:从中间LED灯依次点亮,首先是L4,L5灯亮,间隔0.5(2HZ)后,L4,L5灯熄灭,L3,L6灯亮,再间隔0.5秒后,L3,L6灯熄灭,L2,L7灯亮,依次进行直至L1,L8灯点亮。当L1,L8灯点亮后,从两侧到中间,间隔0.5秒,点亮的灯依次循环,即首先熄灭L1,L8灯,点亮L2,L7灯,然后间隔0.5秒,熄灭L2,L7灯,点亮L3,L6灯,直到L4,L5灯点亮。间隔0.5秒钟后,L4,L5灯熄灭,模式四结束。

模式五:从中间LED灯依次点亮,首先是L4,L5灯亮,间隔0.5(2HZ)后, L3,L6灯亮,再间隔0.5秒后, L2,L7灯亮,依次进行直至L1,L8灯点亮。当L1,L8灯点亮后,从两侧到中间,间隔0.5秒,点亮的灯依次循环熄灭,即首先熄灭L1,L8灯,然后间隔0.5秒,熄灭L2,L7灯,,直到L4,L5灯熄灭,模式五结束。

模式六: LED灯间隔依次点亮,首先是L1,L3,L5,L7灯亮,间隔0.5秒(2HZ)后,L1,L3,L5,L7灯熄灭,L2,L4,L6,L8灯亮,再间隔0.5秒后,L2,L4,L6,L8灯熄灭,L1,L2,L3,L6,L7,L8灯亮,间隔0.5秒钟后,所有灯熄灭,模式六结束。

模式七: LED灯间隔依次点亮,首先是L1,L2,L3,L4灯亮,间隔0.5秒(2HZ)后,L1,L2,L3,L4灯熄灭,L5,L6,L7,L8灯亮,再间隔0.5秒后,所有灯亮,间隔0.5秒钟后,所有灯熄灭,再间隔0.5秒后,所有灯亮,然后间隔0.5秒后,所有灯熄灭,模式七结束。

系统通过拨动开关K1、K2、K3、K4进行操作,其中拨动开关K4=0时,系统进行复位暂停,所有灯熄灭,拨动开关K4=1时彩灯

附加功能:

一、为了节能,设计一个倒计时定时器,定时范围为0~99秒,当定时时间到时,所有彩灯熄灭(只有定时时间大于零时,彩灯才能按照设定的工作模式工作)。定时器的时间设定通过按键S1、S2设定,每按一下s2按键,秒个位加1,从而实现个位0~9的循环设定,同样每按一下s1按键,秒十位加1,从而实现秒十位0~9的循环设定。如果一直按着按键S1或者S2,能实现按照设定的速率连续加1的功能。

定时器通过数码管显示,试验箱上有8位七段数码管,需要采用扫描的方式显示数字。

二、为了增加节日气氛,通过16*16的点阵显示模块按照彩灯的显示频率(2hz)实现循环滚动显示“姓名+学号”(左移或者右移均可)。汉字用16*16点显示、数字用16*8显示。

参考电路原理框图所下图所示。

16*16点阵LED的内部结构如下图所示。

实验箱中用到的数字时钟模块、按键开关、数码管、点阵与FPGA 的接口电路,以及数字时钟源、按键开关、数码管、点阵与FPGA的管脚连接在以前的实验中都做了详细说明,这里不再赘述。LED灯模块的工作原理是当有高电平输入时LED灯就会被点亮,反之不亮。三、输入输出资源说明:

1、输入信号:按键S1(按下时S1=0,松开时S1=1)。

2、外部输入脉冲信号时钟源CP(1MHz),经适当分频后供计数器使用。

其具体框图如下:

1 2

图1 控制电路结构框图

根据如上说明,本设计的主要任务和设计要求是:

1、按照现代数字系统的Top-Down模块化设计方法,提出彩灯控制电路设计系统的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、计数器、输出译码等模块化子系统的设计方案。

2、在QuartusⅡ的EDA设计环境中,完成系统的顶层设计、各子系统的模块化设计。分别完成各个基于Verilog语言实现的子模块(包括控制器电路、计数器电路、输出译码电路、点阵显示电路)的逻辑功能仿真。最后对顶层设计进行功能仿真。

3、在2步的基础上,用下载电缆通过JTAG口将对应的sof文件加

载到FPGA中。观察实验结果是否与自己的编程思想一致。

3、电路的设计与仿真3.1 各功能模块的程序及仿真

3.1.1模式一:

Verilog源文件:

module mokuai1(en,cin,cout); input[3:0] cin;

input en;

output [7:0]cout;

reg[7:0]cout;

always@(cin or en)

begin

if(en==1)

case(cin)

4'b0000:cout=8'b00000001; 4'b0001:cout=8'b00000011; 4'b0010:cout=8'b00000111; 4'b0011:cout=8'b00001111; 4'b0100:cout=8'b00011111; 4'b0101:cout=8'b00111111; 4'b0110:cout=8'b01111111; 4'b0111:cout=8'b11111111; 4'b1000:cout=8'b01111111; 4'b1001:cout=8'b00111111; 4'b1010:cout=8'b00011111; 4'b1011:cout=8'b00001111; 4'b1100:cout=8'b00000111; 4'b1101:cout=8'b00000011; 4'b1110:cout=8'b00000001; 4'b1111:cout=8'b00000000; default:cout=8'b00000000; endcase

else

cout=8'b00000000;

end

endmodule

功能仿真波形:

控制模式一循环的计数器Verilog文件:

module counter1(cp,en,q);

input cp,en;

output[3:0] q;

reg[3:0]q;

always@(negedge cp)

begin

q<=4'b0000;

if(en&(q<4'b1111))q<=q+1;

else q<=4'b0000;

end

endmodule

3.1.2模式二:Verilog源文件:

module mokuai2(cin,en,cout); input[3:0] cin;

input en;

output [7:0]cout;

reg[7:0]cout;

always@(cin or en)

begin

if(en==1)

case(cin)

4'b0000:cout=8'b00000001; 4'b0001:cout=8'b00000010; 4'b0010:cout=8'b00000100; 4'b0011:cout=8'b00001000; 4'b0100:cout=8'b00010000; 4'b0101:cout=8'b00100000; 4'b0110:cout=8'b01000000; 4'b0111:cout=8'b10000000; 4'b1000:cout=8'b01000000; 4'b1001:cout=8'b00100000; 4'b1010:cout=8'b00010000; 4'b1011:cout=8'b00001000; 4'b1100:cout=8'b00000100; 4'b1101:cout=8'b00000010; 4'b1110:cout=8'b00000001; 4'b1111:cout=8'b00000000; default:cout=8'b00000000; endcase

else

cout=8'b00000000;

end

endmodule

功能仿真波形:

控制模块二循环的计数器Verilog文件:

module counter2(cp,en,q);

input cp,en;

output[3:0] q;

reg[3:0]q;

always@(negedge cp)

begin

q<=4'b0000;

if(en&(q<4'b1111))q<=q+1;

else q<=4'b0000;

end

endmodule

3.1.3模式三:

Verilog源文件:

module mokuai3(cin,en,cout); input[3:0] cin;

input en;

output [7:0]cout;

reg[7:0]cout;

always@(cin or en)

begin

if(en==1)

case(cin)

4'b0000:cout=8'b00000011; 4'b0001:cout=8'b00000110; 4'b0010:cout=8'b00001100; 4'b0011:cout=8'b00011000; 4'b0100:cout=8'b00110000; 4'b0101:cout=8'b01100000; 4'b0110:cout=8'b11000000; 4'b0111:cout=8'b01100000; 4'b1000:cout=8'b00110000; 4'b1001:cout=8'b00011000; 4'b1010:cout=8'b00001100; 4'b1011:cout=8'b00000110; 4'b1101:cout=8'b00000011; 4'b1110:cout=8'b00000000; default:cout=8'b00000000; endcase

else

功能仿真波形:

控制模块三循环的计数器Verilog文件:module counter3(cp,en,q);

input cp,en;

output[3:0] q;

reg[3:0]q;

always@(negedge cp) begin

q<=4'b0000; if(en&(q<4'b1101))q<=q+1;

else q<=4'b0000;

end

endmodule

3.1.4模式四:Verilog源文件:

module mokuai4(cin,en,cout); input[3:0] cin;

input en;

output [7:0]cout;

reg[7:0]cout;

always@(cin or en)

begin

if(en==1)

case(cin)

4'b0000:cout=8'b00011000; 4'b0001:cout=8'b00100100; 4'b0010:cout=8'b01000010; 4'b0011:cout=8'b10000001; 4'b0100:cout=8'b01000010; 4'b0101:cout=8'b00100100; 4'b0110:cout=8'b00011000; 4'b0111:cout=8'b00000000; default:cout=8'b00000000; endcase

else

功能仿真波形:

控制模块四循环的计数器Verilog文件:

module counter4(cp,en,q);

input cp,en;

output[3:0] q;

reg[3:0]q;

always@(negedge cp)

begin

q<=4'b0000;

if(en&(q<4'b0111))q<=q+1;

else q<=4'b0000;

end

endmodule

3.1.5模式五:Verilog源文件:

module mokuai5(cin,en,cout); input[3:0] cin;

input en;

output [7:0]cout;

reg[7:0]cout;

always@(cin or en)

begin

if(en==1)

case(cin)

4'b0000:cout=8'b00011000; 4'b0001:cout=8'b00111100; 4'b0010:cout=8'b01111110; 4'b0011:cout=8'b11111111; 4'b0100:cout=8'b01111110; 4'b0101:cout=8'b00111100; 4'b0110:cout=8'b00011000; 4'b0111:cout=8'b00000000; default:cout=8'b00000000; endcase

else

cout=8'b00000000;

end

endmodule

功能仿真波形:

控制模块五循环的计数器Verilog 文件:

module counter5(cp,en,q); input cp,en; output[3:0] q; reg[3:0]q;

always@(negedge cp) begin

q<=4'b0000;

if(en&(q<4'b0111))q<=q+1; else q<=4'b0000; end

endmodule

3.1.6模式六:

Verilog 源文件:

module mokuai6(cin,en,cout); input[3:0] cin; input en;

output [7:0]cout; reg[7:0]cout;

always@(cin or en) begin if(en==1) case(cin)

4'b0000:cout=8'b10101010;

4'b0001:cout=8'b01010101; 4'b0010:cout=8'b11100111; 4'b0011:cout=8'b00000000; default:cout=8'b00000000; endcase else

cout=8'b00000000; end

endmodule

功能仿真波形:

控制模块六循环的计数器Verilog文件:

module counter6(cp,en,q);

input cp,en;

output[3:0] q;

reg[3:0]q;

always@(negedge cp)

begin

q<=4'b0000;

if(en&(q<4'b0011))q<=q+1;

else q<=4'b0000;

end

endmodule

3.1.7模式七:

Verilog源文件:

module mokuai7(cin,en,cout); input[3:0] cin;

input en;

output [7:0]cout;

reg[7:0]cout;

always@(cin or en)

begin

if(en==1)

case(cin)

4'b0000:cout=8'b11110000; 4'b0001:cout=8'b00001111; 4'b0010:cout=8'b11111111; 4'b0011:cout=8'b00000000; 4'b0100:cout=8'b11111111; 4'b0101:cout=8'b00000000; default:cout=8'b00000000; endcase

else

cout=8'b00000000;

end

endmodule

功能仿真波形:

控制模块七循环的计数器Verilog文件:

module counter7(cp,en,q);

input cp,en;

output[3:0] q;

reg[3:0]q;

always@(negedge cp)

begin

q<=4'b0000;

if(en&(q<4'b0101))q<=q+1;

else q<=4'b0000;

end

endmodule

3.1.8模式八:

用第八个模块实现一个结合计数和3-7译码为一体的功能,7个输出端分别控制7个计数器的使能端从而达到控制7种模式循环工作的目的。

Verilog源文件:

mokuai8:

module mokuai8(en,cp,cout); input cp,en;

output[6:0]cout;

reg[6:0]cout;

reg[6:0]p;

always@(negedge cp ) begin

if(en==1)

begin

p=p+1;

if(p==72)p=0;

else

if (p<17)

cout=7'b0000001; else

if (p<33)

cout=7'b0000010; else

if (p<47)

cout=7'b0000100; else

if (p<55)

cout=7'b0001000; else

if (p<63)

cout=7'b0010000;

else

if (p<67)

cout=7'b0100000;

else

if (p<72)

cout=7'b1000000;

end

end

endmodule

功能仿真波形:

3.1.9译码器:

用该译码器实现对控制端K1、K2、K3的译码,将输入的高低电平译为7为二进制码控制七个计数器的使能端,从而达到驱动7种模式工作的目的。

Verilog源文件:

module decode(en,cin,cout); input[2:0]cin;

input en;

output[6:0]cout;

reg[6:0]cout; always@(cin or en) begin

if(en==1)

case(cin)

3'b001:cout=8'b00000001; 3'b010:cout=8'b00000010; 3'b011:cout=8'b00000100; 3'b100:cout=8'b00001000; 3'b101:cout=8'b00010000; 3'b110:cout=8'b00100000;

3'b111:cout=8'b01000000; default:cout=8'b00000000; endcase

else

cout=8'b00000000;

end

endmodule

功能仿真波形:

3.1.10分频器:

用该分频器将1MHZ时钟分频为2HZ,作为7个计数器的时钟信号。

Verilog源文件:

module fenpin (cp,Q); input cp;

output Q;

reg [31:0] CT;

reg Q;

always @ (posedge cp) begin

CT<=32'd0; if (CT>32'd249998)

begin

CT<=32'd0;

Q=~Q;

end

else CT<=CT+1'd1;

end

endmodule

3.2将各模块连接后的电路图:

连接原理说明:

按键K1-K3为控制按键,可以通过他们控制8中不同的模式,具体情况是通过它们的不同状态(非000)来控制右边译码器的7种不同输出,译码器输出的7位二进制码中只有一个是高电平。K4为开关键,当它为0时后面电路停止工作。

当K1—K3为000,K4为1时,mokuai8的使能端为高电平,其开始工作,驱动前七个模块循环工作。

译码器和mokuai8输出的两个7位二进制码,通过或门接入7个计数器的使能端,控制计数器的工作,从而达到控制计数器后面所接的模块工作与否的目的。

数电模电数字时钟设计

扬州大学能源与动力工程学院本科生课程设计 题目:数字时钟设计 课程:数字电子技术基础 专业:电气工程及其自动化 班级:电气8888班 学号: 111712345 姓名:提莫队长 指导教师:年** 蒋** 完成日期: 2013年6月14日

总目录第一部分:任务书 第二部分:课程设计报告 第三部分:设计图纸

第一部分 任 务 书

《数字电子技术基础》课程设计任务书 一、课程设计的目的 本课程是在学完《数字电子技术基础》、《数字电子技术实验》之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实践环节,通过该教学环节,要求达到以下目的: 1.使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力; 2.使学生基本掌常用电子电路的一般设计方法,提高电子电路的设计和实验能力; 3.熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。 二、课程设计的要求 1.设计时要综合考虑实用、经济并满足性能指标要求; 2.必须独立完成设计课题; 3.合理选用元器件; 4.按时完成设计任务并提交设计报告。 三、课程设计进度安排 1、方案设计;(半天) 根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。 2、电路设计:(一天) 根据方案设计框图,并画出详细的逻辑图 3、装配图设计:(半天) 根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。同时配以必要的文字说明。 4、电路制作:(两天) 对选定的设计,按装配图进行装配,调试实验。 5、总结鉴定:(一天) 考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报。 四、设计题目及内容 1、题目:数字时钟电路 2、内容: (1)具有“时”“分”的数字显示时钟; (2)“秒”不作数字显示,只使“时”和“分”之间“:”间隔闪亮; (3)具有校分和校时功能; (4)具有整点报时功能(59分50秒开始间歇报时)。 五、设计要求 1、用中小型规模集成电路设计出所要求的电路; 2、在实验箱上安装、调试出所设计的电路; 3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现; 4、在EDA编程实验系统上完成硬件系统的功能仿真; 5、写出设计、调试、总结报告。 六、器件与器材

石油大学数电实验

第一次 1. 用一片74ls00分别实现下列逻辑函数:ABC F = ABC F = B A F += B A B A F += (预习时学画出电路原理图) 2. 化简下列函数并用常用门电路实现: C B A BC A ABC ++=F J 第二次 1.用最少的门电路实现三输入变量的奇偶校验电路。当三个输入端有奇数个1时,输出为高,否则为低(预习时画出电路原理图,注明所用芯片型号) 2.用最少的门电路实现1位全加器(预习时画出电路原理图,注明所用芯片型号) 3.设A 、B 、C 、D 是4位二进制数(A 为高位),可用来表示16个十进制数。请设计一逻辑电路,使之能区分下列三种情况: (1)4X 0≤≤ (2)9X 5≤≤ (3)15X 10≤≤ (预习时画出电路原理图,注明所用芯片型号) 第三次 1.用一个3线8线译码器和最少的门电路设计一个奇偶校验电路,要求当输入的四个变量中有偶数个1时输出为1,否则为0(预习时画出电路原理图,注明所用芯片型号) 2.用4选1数据选择器74ls153实现三输入变量的奇偶校验电路。当三个输入端有奇数个1时,输出为高,否则为低(预习时画出电路原理图,注明所用芯片型号) 第四次 1.测试JK 触发器逻辑功能:74LS112是双J-K 触发器,利用实验箱上的0-1电平、高低电平指示和单脉冲测试74LS112上一个J-K 触发器的逻辑功能。自拟实验表格,记录实验结果(预习时查出74LS112的内部结构及管脚分配) 2.测试D 触发器逻辑功能:74LS74是双D 触发器,利用实验箱上的0-1电平、高低电平指示和单脉冲测试74LS74上一个D 触发器的逻辑功能。自拟实验表格,记录实验结果(预习时查出74LS74的内部结构及管脚分配) 第五次 1.用十进制计数器 74LS90实现六进制计数器 2.用74LS161实现10进制计数器,并用两种方法构成6进制计数器,计数循环为0000~0101。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

照明课程设计报告

, 扬州大学能源与动力工程学院本科生课程设计 《 题目: 2号办公楼的电气照明施工设计 课程:电气照明 专业:建筑电气与自动化 班级:智能0801 ! 姓名: 指导教师:李新兵于照 完成日期: 2012年1月13日

电气照明课程设计任务书 一、设计课题 , 某建筑(指定)电气照明施工图设计 二、工程概况 详各建筑图建筑说明。 三、原始资料 1、各工程土建施工图纸一套(另附) 2、国家标准及相应设计规范。 四、课题要求 1、设计原则:国家现行有关电气设计规范及主管部门规定等。 " 2、设计范围:电气照明平面布置、照明电气设计、接地与电气安全等 3、设计成果:课程设计报告(设计说明书及计算书等) 电气施工图(系统图、平面图等) 4、设计要求:按工程施工设计深度要求设计、出图,全部图纸要求采用计算机 绘制。 五、日程安排 本次课程设计时间共周,进度安排如下: 设计准备,熟悉有关电气设计规范,熟悉本工程土建图及其它所提条件,绘制电气设计条件图。(1天) 照明平面布置、电气初步设计。(2天) , 照明计算、负荷计算。(1天) 电气施工图设计、绘图,要求采用计算机绘制电气施工图。(3天) 整理计算书及图纸、写课程设计报告。(1天) 六、主要参考书 1、GB50054—95 《低压配电设计规范》国标 1996年 2、GBJ50034—2004 《建筑照明设计标准》国标 2004年 3、JGJ16—2008 《民用建筑电气设计规范》行业标准 2008年 4、吕光大主编《建筑电气安装工程图集》(第2版)1、2、3集中国电力出版社 ; 5、照明设计手册(第二版) 北京照明学会中国电力出版社 6、《工厂常用电气设备手册》(第2版)上、下册中国电力出版社

液晶电光效应实验(中国石油大学实验数据)

【数据处理】 表1 水平方向电压-透射率数据表 由上表数据画出液晶开关的电光特征曲线如下图:

由上图截取90%和10%分别得到可知液晶的阈值电压为1.00V,关断电压为1.51V 由上表数据画出液晶开关的电光特征曲线如下图:

由上图可知截取90%和10%分别得到阈值电压为0.94V,关断电压为1.44V。 图像分析: 水平方向和垂直方向图像基本走向是相同的,在0.00v~0.90v之间基本保持不变,在0.90v~1.8v之间变化很快,最后达到2.0v后基本不变达到饱和状态,透射率变为0。 但是我们可以从图像中看出,两种方法放置时他们的阀值电压和关断电压都略有区别,我们可以看出水平放置时阀值电压和关断电压都大于垂直放置的,饱和电压也有一定的区别。 2.根据光开关电光响应曲线得出液晶上升时间Δt1和下降时间Δt2。 由数字示波器得出上升时间和下降时间分别为50.0ms和

31ms。 【思考与讨论】 1.试说明液晶光开关的工作原理。 答:如图所示,在未施加驱动电压的情况下,来自光源的自然光经过偏振片P1后只剩下平行于透光轴的线偏振光,该线偏振光到达输出面时期偏振面旋转了90度。这时光偏振面与P2的透光轴平行,因而有光通过。 再施加足够的电压情况下(一般1~2V),在静电场的吸引下除了基片附近的液晶分子被基片“锚定”以外,其他液晶分子趋于平行于电场方向排列,于是,原来的扭曲结构被破坏,成了均匀结构,如图右图所示。从P1透射出来的偏振光的偏振方向在液晶传播时不再旋转,保持原来的偏振方向传播下去,到达下一个电极,这时光的偏振方向与P2正交,因而光被关断。 2.如何调节激光接收装置,使得准直激光垂直入射到液晶屏上?答:检查在静态0v供电电压条件下,透过率显示是否为100%。和未放屏幕时

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

扬州大学电力电子课程设计

电力电子技术课程设计 班级建电1102班 学号 111705204 姓名傅亦舒 扬州大学能源与动力工程学院 二零一三年十二月

目录 第一章课程设计报告题目 (3) 第二章课程设计内容 (3) 第三章 BUCK变换器的工作原理 (3) 第一节电路原理图 (3) 第二节电路理想波形 (4) 第四章主电路的参数设置 (5) 第五章建立仿真模型 (6) 第一节直流降压斩波变换电路仿真模型图 (6) 第二节仿真结果 (6) 第六章仿真结果分析 (9) 第七章结论 (10) 第八章参考文献 (11)

第一章课程设计报告题目 Buck变换器研究。 第二章课程设计内容 1 主电路方案确定 2 绘制电路原理图、分析理论波形 3 器件额定参数的计算 4 建立仿真模型并进行仿真实验 6 电路性能分析:输出波形、器件上波形、参数的变化、谐波分析、故障分析等 第三章 Buck变换器的工作原理 第一节电路原理图 降压斩波电路的原理图如图a)所示。该电路使用一个全控型器件V,也可使用其他器件,若采用晶闸管,需设置使晶闸管关断的辅助电路。在图中,为在V关断时给负载中电感电流提供渠道,设置了续流二极管VD。斩波电路主要用于电子电路的供电电源,也可拖动直流电动机或蓄电池负载等。 如图a): t=0时刻驱动V导通,电源E向负载供电,负载电压uo=E,负载电流io按指数曲线上升。 t=t1时控制V关断,二极管VD续流,负载电压uo近似为零,负载电流呈指数曲线下降。

通常串接较大电感L 使负载电流连续且脉动小。 第二节 电路理想波形 由图b)中的V 的栅射电压G u 波形可知,在0=t 时刻驱动V 导通,电源E 向负载供电,负载电压E U =0,负载电流0i 按指数曲线上升。当1t t =时刻,控制V 关断,负载电流经二极管VD 续流,负载电压0U 近似为零,负载电流呈指数曲线下降。为了使负载电流连续且脉动小,通常串接L 值很大的电感。至一个周期T 结束,再驱动V 导通,重复上一周期的过程。当 c) 电流断续时的波形 E V + - M R L VD i o E M u o i G t t t O O O b)电流连续时的波形 T E i G t on t off i o i 1 i 2 I 1 I 2 t 1 u o O O O t t t T E E i G i G t on t off i o t x i 1 i 2 I 20 t 1 t 2 u o E M a) 电路

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

扬州大学plc电气控制及可编程电气控制技术课程设计报告自动门

扬州大学水利与能源动力工程学院本科生课程设计 课程:电气控制及可编程控制器课程设计 专业:建筑电气与智能化 班级: 学号: 姓名: 指导老师:李新兵、蒋步军 完成日期:2014年6月27日

目录 一、任务书 (2) (一).题目 (2) (二).概况及控制要求 (2) 二、控制方式选择 (2) (一).控制方式比较 (2) (二).PLC型号的选择 (2) 1. 功能要求 (3) 2. 价格要求 (3) 3. 个人喜好要求 (3) 三、PLC编程思路分析 (3) (一).实现方法 (3) (二).方案比较 (4) 四、外部接线的设计 (4) (一).I/O接线图 (4) (二).控制流程 (5) 五、软件设计 (5) (一).流程图 (5) (二).梯形图 (6) (三).指令语句 (7) 六、总结体会 (8) 参考文献 (9)

一、任务书 (一).题目 自动门控制系统设计 (二).概况及控制要求 在商店,银行等入口,经常使用感应自动门,有人出入时能够自动打开和关闭大门。 有人接近时,门应自动打开。 门打开后,应保持开状态,直到门的通道上已无任何人为止。 如果门的通道上已无任何人,门必须在很短的时间里自动关闭。 设置功能选择控制开关:○1常开,常关,自动三种状态;○21只能进,只能出。 门故障时,蜂鸣器发出报警信号。 二、控制方式选择 (一).对于选用的控制方式比较 对继电控制,单片机控制,PLC控制进行比较 继电控制常常适用于高压电路且控制方式比较简单的电路中,设计复杂的开关过程 时电路复杂,且体积大,噪声大,门的开关不需要那么大的控制电路,编程也过于复杂,不能选用。 单片机的稳定性不好,门的控制要求一定的抗干扰性,安全性,不能选用。 Plc有小型化,稳定性好,编程简单的特点,故选用PLC控制。 (二).PLC型号的选择 对于PLC型号的选择问题,则有以下几个方面要考虑:

中国石油大学 软件工程 第一次在线作业

中国石油大学软件工程第一次在线作业 (2.5分)结构化分析建立功能模型的工具是() ? A、DFD ? B、判定树/判定表 ? C、SC ? D、结构化语言 我的答案:A 此题得分:2.5分 2.(2.5分)检查软件产品是否符合需求定义的过程称为() ? A、确认测试 ? B、集成测试 ? C、系统测试 ? D、单元测试 我的答案:A 此题得分:2.5分 3.(2.5分)软件测试方法中,黑盒测试方法和白盒测试方法是常用的方法,其中黑盒测试方法主要用于测试() ? A、结构合理性 ? B、软件外部功能 ? C、程序正确性 ? D、程序内部逻辑

我的答案:B 此题得分:2.5分 4.(2.5分)软件开发中常采用的结构化生命周期方法,由于其特征而一般称其为() ? A、瀑布模型 ? B、对象模型 ? C、螺旋模型 ? D、层次模型 我的答案:A 此题得分:2.5分 5.(2.5分)建立原型的目的不同,实现原型的途径也有所不同,下列不正确的类型是() ? A、用于验证软件需求的原型 ? B、垂直原型 ? C、用于验证设计方案的原型 ? D、用于演化出目标系统的原型 我的答案:B 此题得分:2.5分 6.(2.5分)面向对象的开发方法中,()将是面向对象技术领域内占主导地位的标准建模语言。 ? A、Booch方法 ? B、Coad方法 ? C、UML语言

? D、OMT方法 我的答案:C 此题得分:2.5分 7.(2.5分)黑盒技术设计测试用例的方法之一为() ? A、因果图 ? B、逻辑覆盖 ? C、循环覆盖 ? D、基本路径测试 我的答案:A 此题得分:2.5分 8.(2.5分)软件测试方法中的静态测试方法之一为() ? A、计算机辅助静态分析 ? B、黑盒法 ? C、路径覆盖 ? D、边界值分析 我的答案:A 此题得分:2.5分 9.(2.5分)技术可行性是可行性研究的关键,其主要内容一般不包括() ? A、风险分析 ? B、资源分析 ? C、人员分析 ? D、技术分析

扬州大学课程设计报告

求一个延时设计电路 该设计电路要求为: 1、当接通开关,输出端立刻输出一个高电平(+12V左右) 2、当开关断开时,输出端的高电平消失 3、在开关首次断开的在6分钟内,开关接通时电路仍然输出低电平,和没接通一样 选用普通的电路元件即可,不用单片机程序控制,如果谁知道请告诉我一下,很感谢,这个电路我想好久了,一直没想出,心里挺急的,急切盼望能得到回复,谢谢! 这是典型的空调机再启动保护电路,也可以用于电冰箱再启动保护, 同样可以用于抽湿机的再启动保护、冷库压缩机保护。 过去都是用继电器逻辑电路来实现,延时用气囊延时继电器,改革开放前国内就正式生产,现在产量少很多,依然有生产。用电容-电阻延时电路,加上分立元器件的电子逻辑电路也可以满足要求。 这是最基础的时间顺序控制,简称时序电路。一般的单片机仿真器、编程器要一千元以上一套,也可以自制简易的仿真、编程电路板。用单片机编写程序最容易;自己独立重新设计基础电路才能真实提高基础能力。这类控制如果用通用数字集成电路,无非就是RS触发器、JK触发器、D触发器、锁存器、逻辑门。一般在工业基础稍好一点的地区,在机电批发市场、在机电五金商铺集中地段,常常能见到用低压电器、继电器、接触器、开关、按钮等等组装电气控制柜的铺面,你可以去交钱学习,可以到书店查找基础书籍,可以上网搜索下载相关资料。本人为你设计了一个基础的电路,要一个常开手动按钮启动、一个常闭手动按钮关闭、一个有两组独立的常开触头的继电器、二个三极管、两个电解电容器、四个电阻、一个二极管。你自己设计的时候,先去熟悉最基础的,由一个常开手动按钮启动、一个常闭手动按钮关闭、一个有一组独立的常开触头自锁的继电器构成的最小控制器,然后将手动按钮启动通过电阻-电容记快充电、慢放电、记忆保持三极管开关控制继电器;继电器吸合后有一路电阻-电容记慢充电、慢放电、记忆保持三极管开关,反馈到前面旁路前面的三极管基极。更进一步,是将启动按钮上电用一个新增独立的继电器吸合,启动按钮施放时候,使另一个新增独立的继电器吸合,再组合修改线路后,就完全达到你的要求耶。 推荐一下烟雾和气体传感器 几十年前流行过可燃气体传感器,因为一沾油烟就失效,骗钱的玩意,基本上都停产啦,市场没有需要。 其基本结构就是一个网罩,里面一个加热丝、一个贵金属接触非明火燃烧丝而已。外围电路就一个直流电桥和放大器哟。 燃气灶安全保障要依靠双针结构,一个点火,一个是热电偶,如果火被吹灭了不加热,热电偶没有输出,电磁线圈失去电流,电磁阀就关闭“出气”。 火灾报警最简单的是像电视机遥控器那样,红外光电检测浓烟,太迟钝。 实用的是离子感应,同位素、电离室、灵敏放大器构成。 上面说的每一项都不是个人玩得转地,你就歇着吧。 煤气公司使用的车载气相色谱仪器检测可燃气体,仪器的价格是一百万元人民币以上呢。

中国石油大学《软件工程》复习题及答案

《软件工程》期末复习题及答案 一、单项选择题(本大题共60小题,在每小题的四个备选答案中,选出一个正确答案,并将 正确答案填涂在答题卡上) 1. 软件危机产生的主要原因是。 A.软件日益庞大 B.不能与用户良好地沟通 C.开发人员编写程序能力差 D.没有适应的开发模型 2.软件工程的发展不包括以下那个阶段。 A.传统软件工程阶段 B.自主化开发阶段 C.过程软件工程阶段 D.构件软件工程阶段 3. 现代软件工程研究的内容不包括。 A.软件开发模型 B.软件开发方法 C.软件支持过程 D.软件开发质量 4.以下哪个软件生存周期模型是一种风险驱动的模型。 A.瀑布模型 B.增量模型 C.螺旋模型 D.喷泉模型 5.软件的开发方法不包括。 A.结构化开发方法 B.面向对象开发方法 C.面向管理的开发方法 D. 面向数据结 构的开发方法 6.软件生存周期中,准确地确定软件系统必须做什么的阶段是。 A.可行性分析和项目开发计划 B.需求分析 C.概要设计D.详细设计 7. 需求分析中开发人员要从用户那里了解。 A.软件做什么 B.用户使用界面 C.输入的信息 D.软件的规模 8. 结构化分析建立功能模型的工具是。 A.DFD B.判定树/判定表 C.SC D.结构化语言 9. 数据词典是对数据定义信息的集合,它所定义的对象都包含于。 A.数据流图 B.程序框图 C.软件结构 D.方框图 10.用于描述结构化分析中数据流加工逻辑的是。 A.判定树B.ER图 C.状态图D.用例图 11.下列几种耦合类型,耦合性最高的是。 A.公共耦合B.控制耦合 C.标记耦合D.数据耦合

12. 通信内聚是指。 A. 把需要同时执行的动作组合在一起形成的模块 B.各处理使用相同的输入数据或者产生相同的输出数据 C.一个模块中各个处理元素都密切相关于同一功能且必须顺序执行 D.模块内所有元素共同完成一个功能,缺一不可 13.模块定义为受该模块内一个判定影响的所有模块集合。 A.控制域 B.作用域 C.宽度 D.接口 14.好的软件结构应该是。 A.高耦合、高内聚 B.低耦合、高内聚 C.高耦合、低内聚 D.低耦合、低内聚 15.结构化程序设计的一种基本方法是。 A.筛选法 B.递归法 C.迭代法 D.逐步求精法 16.结构化设计是一种面向的设计方法。 A.数据流 B.数据库 C.数据结构 D.程序 17.若一个模块中各个处理元素都密切相关于同一功能且必须顺序执行,前一功能元素的输 出就是下一功能元素的输入,则该模块的内聚性类型是。 A.时间内聚 B.偶然内聚 C.顺序内聚 D.功能内聚 18.模块的内聚性最高的是。 A、逻辑内聚 B、时间内聚 C、偶然内聚 D、功能内聚 19.在软件结构设计完成后,对于下列说法,正确的是。 A.非单一功能模块的扇入数大比较好,说明本模块重用率高 B.单一功能的模块扇入高时应重新分解,以消除控制耦合的情况 C.一个模块的扇出太多,说明该模块过分复杂,缺少中间层 D.一个模块的扇入太多,说明该模块过分复杂,缺少中间层 20.变换流的DFD由三部分组成,不属于其中一部分的是。 A.事务中心 B.变换中心 C.输入流 D.输出流 21.在详细设计阶段,经常采用的工具有。P96 A. PDL B. SA C. SC D. DFD

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

扬州大学PLC课程设计分析

扬州大学能源与动力工程学院课程设计报告 题目:广告屏控制系统设计 课程:电气控制及可编程控制器 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师: 完成日期:2016 年12 月

第一部分 任 务 书

电器控制及可编程控制器课程设计任务书 一、课题名称 广告屏控制系统设计 二、设计目的及要求 1、熟悉电气控制系统的一般设计原则、设计内容及设计程序。 2、掌握电气设计制图的基本规范,熟练掌握PLC程序设计的方法和步骤。 3、学会收集、分析、运用电气设计有关资料及数据。 4、培养独立工作和工程设计能力以及综合运用专业知识解决实际工程技术问题的能力。 三、原始资料 各大中小城市或企业为了宣传自己企业形象和产品,均采用广告手法之一——广告屏来实现这一目的,当我们夜晚走在大街上,马路两旁各色各样的霓虹灯广告均可见到,一种是采用霓虹灯管做成的各种形状和多种彩色的灯管,另一种为日光灯管或白炽灯管作为光源,另配大型广告语或宣传画来达到宣传的效果。 某广告屏共有8根灯管,24只流水灯,每4只灯为一组,用PLC对广告屏实现控制,其具体要求如下: 1、该广告屏中间8个灯管亮灭的时序为第1根亮→第2根亮→第3根亮→…→第8根亮,时间间隔为ls,全亮后,显示l0s,再反过来从8→7→…→1顺序熄灭。全灭后,停亮2s,再从第8根灯管开始亮起,顺序点亮8→7→…→1,时间间隔为1s,显示20s。再从l→2→…→8顺序熄灭。全熄灭后,停亮2s,再从头开始运行,周而复始。 2、广告屏四周的流水灯共24只,4个l组,共分6组,每组灯间隔ls向前移动一次,且I~Ⅵ每隔一组灯为亮,即从I、Ⅲ亮→Ⅱ、Ⅳ亮→Ⅲ、V亮→Ⅳ、Ⅵ亮…,移动一段时间后(如30s),再反过来移动,即从Ⅵ、Ⅳ亮→V、Ⅲ亮→Ⅳ、Ⅱ亮→Ⅲ、I亮…,如此循环往复。 3、系统有单步/连续控制,有启动和停止按钮。 4、系统日光灯管、白炽灯的电压及供电电源均为市电220V。 四、课题要求 1、设计原则:国家现行有关电气设计规范及主管部门规定等。 2、设计范围:控制系统主电路及控制电路设计,电器设备选型。 3、设计成果:课程设计报告(设计说明书及计算书等),主电路图、控制电路图、流程图、I/O端子接线图、梯形图及程序。(所有成果均应为打印稿) 五、日程安排 本次课程设计时间共一周,进度安排如下: 1、设计准备,熟悉有关电气设计规范,熟悉课题设计要求及内容。(1天) 2、分析控制要求、主电路及控制电路方案设计。(1天) 3、绘制控制流程图、I/O端子接线图。(1天) 4、梯形图设计、编制程序及程序说明。(1天)

中国石油大学(华东)软件工程导论复习资料

软件工程的定义 : ◆传统定义 为了经济地获得可靠的和能在实际机器上有效运行的软件,确立并应用健全的工程原理 ◆ IEEE 定义 软件工程是 . 将系统的、规范的和可计量的方法应用于软件的开发、操作和维护,即将工程化应用于软件 软件的构成要素 : 软件是计算机系统中与硬件相互依存的另一部分,它是包括程序、数据及其相关文档的完整集合。 程序:是按事先设计的功能和性能要求执行的指令序列; 数据:是使程序能正常操纵信息的数据结构; 文档:是与程序开发、维护和使用有关的图文材料。 软件的特点: 1. 软件是一种逻辑实体,具有抽象性; 2. 是开发的,而不是制造的 3. 具有柔性 4. 不磨损 软件工程的范围: 每个软件项目都是为某种商业需要而设计的 已经成为基于计算机的系统和产品演变过程中的关键因素 已经从专门问题的解决方案和分析信息的工具,转变为完整的结构良好的行业 涉及广泛的技术和应用领域,然而所有软件的演变都遵循着 30 多年来一成不变的规律 软件工程为构建高质量的软件提供基础框架 软件工程的三个要素是方法、工具、过程。

瀑布模型的特点: 1. 阶段间具有顺序性和依赖性 2. 推迟实现的观点 3. 质量保证的观点 快速原型模型的特点: ◆快速原型模型先开发一个“原型”软件,完成部分主要功能,展示给用户并征求意见,然后逐步完善,最终获得满意的软件产品。 ◆快速实现和提交一个有限的版本,可以应付市场竞争的压力。 螺旋模型的特点: ◆对可选方案和约束条件的强调有利于已有软件的重用,也有助于把软件质量作为软件开发的一个重要目标; ◆减少了过多测试(浪费资金)或测试不足(产品故障多)所带来的风险; ◆更重要的是,在螺旋模型中维护只是模型的另一个周期,在维护和开发之间并没有本质区别。 ◆螺旋模型的主要优势在于它是风险驱动的,但这也是其一个弱点,要求开发人员具有丰富的风险评估经验和这方面的专门知识。 螺旋模型主要适用于内部开发的大规模软件项目 增量模型的特点: ◆采用瀑布模型和快速原形模型等开发软件时,目标都是一次就把一个满足所有需求的产品提交给用户。而增量模型则是一个构件接一个构件地提交,因此能在较短的时间内向用户提交可完成部分工作的产品; ◆逐步增加产品功能可以使用户有较充裕的时间学习和适应新产品; ◆开发人员既要把软件看作一个整体,又要把它分解成合适的构件序列,每个构件本质上都独立于另一个构件,这一点较难做到 喷泉模型特征: ◆喷泉模型是一种以用户需求为动力,以对象为驱动的模型,主要用于描述面向对象的软件开发过程 ◆软件开发过程自下而上周期的各阶段是相互重叠和多次反复的,就像水喷上去又可以落下来,类似一个喷泉。

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

电气照明课程设计

扬州大学水利与能源动力工程学院 课程设计报告 课程名称:电气照明课程设计 题目名称:某公司职工食堂电气照明施工图设计 年级专业及班级:建电1101 姓名: 学号: 指导教师: 评定成绩: 教师评语: 指导老师签名: 2014年11月 17日

扬州大学水利与能源动力工程学院课程设计报告 目录 摘要 (2) 一.工程概况与设计依据 (3) 1.工程概况 (3) 2.设计依据 (3) 二.照度标准计算 (6) 1.照度标准 (6) 2.照度计算 (6) 三.照明电气设计 (10) 1.照明配电设计 (10) 2.照明负荷计算 (10) 3.导线和电缆选择 (11) 4.照明配电线路保护电器选择 (11) 个人小结 (13) 参考文献 (14) 附录 (14)

摘要 随着科学技术的不断发展与进步,人们已不再满足简单的照亮环境空间,而是希望通过科学的照明设计充分体现环境的文化、艺术特征,营造一个安全、舒适、高质量、高品位的环境。 照明是人类文明的象征,在社会高度发达的今天,照明已几乎渗透到人类活动的所有领域。照明工作者需要使用最经济的手段、最科学的方法,为人类创造明亮舒适的环境,提高工作效率,改善生活质量。 住宅照明设计是为了满足住户的舒适,保证照明质量、经济合理、节约能量、便于维护、实用性强,传统意义上的住宅照明设计仅仅是为了满足照度需要,防雷设计多为外部防雷装置。现在住宅照明设计根据区域类别、参考平面及高度,采用直接照明、半直接照明、扩散照明、半间接照明、间接照明等方式,完成照度计算,确定灯具的种类和型号,结合其他用电设备,计算出用电负荷,完成导线、负荷开关、插座的选取和合理布设方式,为用户创造一个温馨舒适的起居环境,完成照明系统设计。

相关文档
最新文档