制作时钟

制作时钟
制作时钟

“多元整合”大班教学活动:制作新年时钟

重点领域:科学

整合领域:艺术

活动目标:

1.喜欢观察时钟,能在活动中大胆表达自己的发现,感知时钟的用途。

2.认识多种多样的时钟,能基本掌握钟面的主要结构,初步感知时针、分针之间的运转关系。

3.能根据时针和分针的位置正确辨认整点和半点,并制作新年时钟。

活动重、难点:本活动的重点是认识时钟、制作时钟,知道钟面的结构及时针和分针的运转关系,能正确辨认整点和半点;难点是用语言描述时针和分针的运转关系,以及某一整点、半点时间时针和分针的位置关系。

活动准备:

1.资源包材料:操作卡《整点和半点》、《制作新年时针》。

2.师幼共同收集多种多样的时钟,创设“时钟展览馆”;指针式钟面、半点钟面各一,整点钟面2个;牙签。

活动过程:

1.参观“时钟展览馆”,观察时钟,了解时钟的用途。

(1)请幼儿自由欣赏、讨论:这些时钟是什么样的?有什么不同和相同的地方?不同的时钟是怎样显示时间的?你最喜欢那个时钟?你们在哪里见过时钟?

小结:这些时钟的外形不一样,都可以显示时间。不同的时钟有不同的显示时间的方式。挂在墙上的是壁钟,摆在桌上的是台钟,直接放在地上的是落地钟。

(2)请幼儿结合生活经验,讨论时钟的用途;你们平时是怎么知道时间的?人们为什么要设计、制作这些时钟呢?时钟有什么用?

小结:时钟可以告诉我们时间,提醒我们按时做事、休息,可以让我们知道做不同的事情所需要的时间是不同的。

2.认识多种不同的时间,了解时钟的基本结构。

(1)教师出示指针式钟面。幼儿认识指针式时钟的结构。

提问:时钟的钟面上有些什么?(两根针有什么不一样?他们叫什么名字?钟面上有那些数字?数字是怎样排列的?)

小结:钟面上有指针和数字,两根针中,短而粗的是时针,长而细的是分针;钟面上有

1-12的数字,排成一个圆圈,12在上面,6在下面,3和9在左右两边。

(2)教师讲解演示,将时针、分针都指向12,然后拨动分针,引导幼儿观察时钟的变换,感知理解顺时针转和逆时针转,感知时针和分针之间的运转关系。

提问:时钟的指针是按什么方向旋转的?分针和时针谁走得快,谁走得慢?分针走完一圈,时针走了多少?表示什么意思?

小结:分针沿数字1-12走一圈,指针转动的方向叫顺时针方向。分针走得快些,时针走得慢些,分针走完一圈,时针走了一大格或一个数字,表示过了一个小时。

(3)教师转动分针,幼儿认识分针。

提问:一个数字格中有几个小格?小格之间的距离一样长吗?分针走一个数字代表走几分钟?分针走一圈是多少分钟呢?(请幼儿用5个5个数或10个10个数的群数方法点数一圈一共是多少分钟)

小结:相邻数字之间有5个小格,分针走一圈是60分钟,60分钟,就是1小时。

3.观察时针和分针的位置关系,认识整点和半点。

(1)教师出示两个表示整点的纸质的钟面,幼儿认识整点。

提问:这两个钟面有什么相同的地方?指针分别指着哪个数字?分针都指向哪里?

小结:当分针指向数字12,就是整点,这是时针指向数字几,就是几点整。拨几个整点,请幼儿认读并报点;报几个整点时间,请幼儿拨出。

(2)教师出示一个半点的钟面,引导幼儿与整点钟面进行对比,认识半点。

提问:这个钟面和那个钟面有什么相同和不同的地方?它表示什么时间?

小结:当分针指着数字6,时针指在某两个相邻数字的中间时,报时间时只看前一个数字,表示几点半。这个钟面上分针指着6,时针在7和8的中间,就表示是7点半。

拨几个半点,请幼儿认读并报点;报几个半点时间,请幼儿拨出。

4.制作新年时钟,进一步巩固认识时钟。

(1)教师出示操作卡《制作新年时钟》,引导幼儿观察、讨论制作方法。

小结:先取下钟面、指针、支撑架,在钟面上写下1-12的数字,再用牙签将指针装在钟面的圆孔中心处,一个可以自由拨动的钟就做好了。

(2)幼儿先在一分钟内按要求制作时钟,初步体验一分钟有多长,再接着将时钟做完,并尝试操作时针和分针的运转。

(3)玩拨报钟点的游戏。

教师报整点和半点时间,幼儿拨时钟。

两人一组,一人拨钟,一人说出时间;或一人报时间,一人拨钟点。

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

数字时钟制作教程

制作教程 前言]:由于找不到电子钟数字字体,于是采用了绘制的图形代替。 [步骤]: 1、画一个如下图形状,转换为图形元件,名为“基本符号”。 2、新建影片剪辑,名为8,把“基本符号”拖入7个,排列成如下图样式。 3、在库中选中名为“8”的元件,右键选择“直接复制”,名为“0”,在库中双击元件“0”,进入元件“0”的编辑场景中,删除中间的横条,得到如下图样式。

4、按照第3步,依次创建元件“1”、“2”、“3”、“4”、“5”、“6”、“7”、“9”,得到的样式分别为如下图所示: 字“年”、“月”、“日”、“星期”及两个冒号。 6、回到主场景,把“数字组合”拖入15个,实例名从下至上、从右至左依次为“_mc1”、“_mc2”……“_mc15”,并打上文入元件“0”,第2帧拖入元件“1”……第10帧拖入元件“9”。 5、新建影片剪辑,名为“数字组合”,把元件“8”拖入,将其透明度设置为30%,延长帧到第10帧。新建图层,在第1帧拖 } else { _mc11.gotoAndStop(1); _mc10.gotoAndStop(yue+1); _mc10.gotoAndStop((yue-Math.floor(yue/10)*10)+1); if (yue<10) { //年(此句代码由终极老师指教) _mc11.gotoAndStop(Math.floor(yue/10)+1); _mc9.gotoAndStop(Math.floor(ri/10)+1); _mc8.gotoAndStop(ri+1); _mc9.gotoAndStop(1); var nian:Number = my_date.getFullYear(); _mc8.gotoAndStop((ri-Math.floor(ri/10)*10)+1); 月 var yue:Number = my_date.getMonth()+1; nian1 = Math.floor(nian/1000); _mc14.gotoAndStop(nian2+1); _mc15.gotoAndStop(nian1+1); _mc13.gotoAndStop(nian3+1); _mc12.gotoAndStop(nian4+1); }; */ nian4 = Math.floor(nian-nian1*1000-nian2*100-nian3*10); nian3 = Math.floor((nian-nian1*1000-nian2*100)/10); } this["nian"+(p+1)] = Number(nian.toString().charAt(p)); /*(此句由本人所写,与上面效果一样,但上面的更简洁明了)if (ri<10) { nian2 = Math.floor((nian-nian1*1000)/100); for (var p:Number = 0; p _mc7.gotoAndStop(xingqi+1); _mc1.gotoAndStop((miao-Math.floor(miao/10)*10)+1); _mc2.gotoAndStop(Math.floor(miao/10)+1); 分 var fen:Number = my_date.getMinutes(); _mc4.gotoAndStop(1); if (fen<10) { _mc1.gotoAndStop(miao+1); _mc2.gotoAndStop(1); var my_dateate = new Date(); 7、在帧上写如下代码:onEnterFrame = function () { 秒 var miao:Number = my_date.getSeconds(); if (miao<10) { _mc3.gotoAndStop(fen+1); _mc4.gotoAndStop(Math.floor(fen/10)+1); 星期 _mc5.gotoAndStop((shi-Math.floor(shi/10)*10)+1); var xingqi:Number = my_date.getDay(); if (xingqi == 0) { 日 _mc7.gotoAndStop(xingqi+8); var ri:Number = my_date.getDate(); _mc6.gotoAndStop(Math.floor(shi/10)+1); 时 _mc3.gotoAndStop((fen-Math.floor(fen/10)*10)+1); _mc5.gotoAndStop(shi+1); var shi:Number = my_date.getHours(); if (shi<10) { _mc6.gotoAndStop(1); 制作教程

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

小学美术四下:《时钟造型设计》教案

《时钟造型设计》教案 一﹑教材分析: 本课属于“设计与应用”领域,时钟与学生的生活息息相关,形态各异的时钟深受孩子们的喜爱。因此,这节课的内容贴近儿童生活,应为孩子们所喜爱。教材中选择了不同形式的时钟,有的华丽精美,有的简洁大方,有的可爱动人,目的是引导学生感受不同形式的时钟给人的不同美感同也为学生了解时钟的文化提供一些资料。教材中还展示了一些学生利用不同的材料设计、制作的时钟作品。通过时钟的设计与制作,培养学生的创新意识,以及设计与制作能力。 二﹑教学目标: 1﹑了解时钟的基本结构,并能运用造型元素进行时钟的造型设计与装饰。 2﹑通过时钟的设计与制作,培养学生的创新意识,以及设计与制作能力。 3﹑培养学生热爱生活、美化生活的人文情感,养成细致认真的观察习惯,并使学生感受时钟与生活﹑时间与学习的密切关系。 三﹑教学重点:抓住时钟的基本结构进行想象与设计制作。 四﹑教学难点:如何巧用材料以及对表盘的设计。 五﹑教学准备:课件、废弃的食品盒子、废挂历纸、图钉以及时钟等。 六﹑教学过程: (一)﹑巧用谜语,导入新课。 1﹑谜语:小小圆形运动场,三个选手比赛忙,跑的路程分长短,最后时间一个样。——谜底时钟 2、导入课题:《时钟》 (二)、感知引导,探究学习。 1、欣赏各种时钟,了解时钟的构造,拓宽学生的认知空间。 ﹙1﹚、欣赏古代的计时器 师:我们的生活离不开时钟,但是,古时候没有时钟,古人又是怎么知道时间的呢? 古代石器日晷课件展示 ﹙2﹚、欣赏生活中的时钟(各种形状的时钟)课件展示 师:随着人类的发展,科技的进步,时钟的造型和功能越来越完美 哪个时钟你最喜欢?能说一说理由吗?[引导从造型和色彩上分析] ——米老鼠外形的、菱形的、三角形…… ﹙3﹚、总结时钟的组成部分 师:这么多各式各样的时钟,它们都有哪几个重要部分组成的呢?(观察资料中的各种时钟)——都有钟面(表盘)、表针(时针﹑分针﹑秒针)﹑十二个数字。

多功能数字钟的设计与实现

多功能数字钟的设计与实现一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1) 24 小时制时间显示。 2) 3) 4)可以随时进行时间校对。 整点报时。 闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1) 2)校时时相应位闪烁。能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0 来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到 8255 的一个端口,通过输出电平的高低来 控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、 单脉冲产生单元、8253 定时计数器、8255 并行接口单元、8259 中断控制器、LED 显 示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图 1 所示。 图 1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台 上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电 路的设计及实现相对简单。完整系统的硬件连接如图 2 所示。硬件电路由定时模块、按键 模块、数码管显示模块和蜂鸣器模块组成。 Q6 路 图 2 系统硬件电路图 微机系统 8253 8255 8259 数码管显示 电路 键盘电路 蜂鸣器电路 单脉冲发生 单元 单脉冲发 生单元 键盘电路 8255 PA0 PB0 PC 8253 OUT0 GATE0 Clk0 地址 CS1 译码 CS2 电路 CS3 CS4 数码管显示模块 8259 IRQ2 IRQ3 IRQ5 PC BUS 蜂鸣器 单脉冲发 生单元

电子时钟制作步骤

电子时钟制作步骤: 1、导入一张“钟表”外观到库中 2、新建1 个“图形元件”(取名表盘),将“钟表”拖入舞台,如图: 3、依次单独建3个“影片剪辑”(取名分别是时针、分针、秒针),用矩形工具画3个长方 形针,如右上图: 4、返回主场景,将图层1命名表盘,将表盘元件拖入舞台 5、增加1个图层,命名表针,先拖入时针元件,并设置动作,编程语句为 onClipEvent(enterFrame){setProperty(this,_rotation,_root.hours);} 然后拖入分针针元件,并设置动作,编程语句为onClipEvent(enterFrame){setProperty(this,_rotation,_root.minutes);} 最后拖入秒针针元件,并设置动作,编程语句为onClipEvent(enterFrame){setProperty(this,_rotation,_root.seconds);} 6、增加1个图层,命名为动作,设置第1针动作,编程语言为: time=new Date(); hours=time.getHours(); minutes=time.getMinutes(); seconds=time.getSeconds(); if(hours>12){ hours=hours-12;} if(hours<1){ hours=12;} hours=hours*30+int(minutes/2); minutes=minutes*6+int(seconds/10); seconds=seconds*6; 7、在第2帧出选插入空白关键帧,设置动作语句编程如下:gotoAndPlay(1); 8、将表盘和表针两图层插入帧,最后测试影片效果即可完成

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

时钟制作教案

劳动与技术 《时钟》教学设计 赣榆县墩尚镇中心小学:高磊 一﹑教材分析: 本课属于“设计与应用”领域,时钟与学生的生活息息相关,形态各异的时钟深受孩子们的喜爱。因此,这节课的内容贴近儿童生活,应为孩子们所喜爱。教材中选择了不同形式的时钟,有的华丽精美,有的简洁大方,有的可爱动人,目的是引导学生感受不同形式的时钟给人的不同美感同也为学生了解时钟的文化提供一些资料。教材中还展示了一些学生利用不同的材料设计、制作的时钟作品。通过时钟的设计与制作,培养学生的创新意识,以及设计与制作能力。 二﹑教学目标: 1﹑了解时钟的基本结构,并能运用造型元素进行时钟的造型设计与装饰。 2﹑通过时钟的设计与制作,培养学生的创新意识,以及设计与制作能力。 3﹑培养学生热爱生活、美化生活的人文情感,养成细致认真的观察习惯,并使学生感受时钟与生活﹑时间与学习的密切关系。 三﹑教学重点:抓住时钟的基本结构进行想像与设计制作。 四﹑教学难点:如何巧用材料以及对表盘的设计。 五﹑教学准备:课件、废弃的食品盒子、废挂历纸、图钉以及时钟等。 六﹑教学过程: (一)﹑巧用谜语,导入新课。 1﹑谜语:小小圆形运动场,三个选手比赛忙,跑的路程分长短,最后时间一个样。——谜底时钟

2、导入课题:《时钟》 (二)、感知引导,探究学习。 1、欣赏各种时钟,了解时钟的构造,拓宽学生的认知空间。 ﹙1﹚、欣赏古代的计时器 师:我们的生活离不开时钟,但是,古时候没有时钟,古人又是怎么知道时间的呢? 古代石器日晷课件展示 ﹙2﹚、欣赏生活中的时钟(各种形状的时钟)课件展示 师:随着人类的发展,科技的进步,时钟的造型和功能越来越完美哪个时钟你最喜欢?能说一说理由吗?[ 引导从造型和色彩上分析] ——米老鼠外形的、菱形的、三角形、…… ﹙3﹚、总结时钟的组成部分 师:这么多各式各样的时钟,它们都有哪几个重要部分组成的呢?(观察资料中的各种时钟) ——都有钟面(表盘)、表针(时针﹑分针﹑秒针)﹑十二个数字。 2、了解时钟制作方法,启发学生思维。 师:同学们,古代的计时器是那么的神奇,而现代的时钟更是多姿多彩,花样繁多。接下来来欣赏我们小伙伴设计的时钟吧,你们看:(1)、欣赏一组学生作品 他们用什么材料做的呢?你觉得哪个地方做的最巧妙? 分析材料的选择和制作方法: ﹙2﹚、思考: (1)你想设计一个什么样的时钟? (2)找一找,有适合做钟面材料的面朋友、有适合做表针材料的线朋友、有适合做表符材料的点朋友?如何巧用材料?

多功能数字时钟的设计

多功能数字钟设计与制作 一、引言 中国是世界上最早发明计时仪器的国家。有史料记载,汉武帝太初年间(纪元前104-101年)由落下闳创造了我国最早的表示天体运行的仪器——浑天仪。东汉时期(公元130年)张衡创造了水运浑天仪,为世界上最早的以水为动力的观测天象的机械计时器,是世界机械天文钟的先驱。盛唐时代,公元725年张遂(又称一行)和梁令瓒等人创制了水运浑天铜仪,它不但能演示天球和日、月的运动,而且立了两个木人,按时击鼓,按时打钟。第一个机械钟的灵魂——擒纵器用于计时器,这是中国科学家对人类计时科学的伟大贡献。它比十四世纪欧洲出现的机械钟先行了六个世纪。 第一只石英钟出现在二十世纪二十年代,从三十年代开始得到了推广,从六十年代开始,由于应用半导体技术,成功地解决了制造日用石英钟问题,石英电子技术在计时领域得到了广泛的应用。并取代机械钟做了更精确的时间标准。早在1880年,法国人皮埃尔·居里和保罗·雅克·居里就发现了石英晶体有压电的特性,这是制造钟表“心脏”的良好材料。科学家以石英晶体制成的振荡计时器和电子钟组合制成了石英钟。经过测试,一只高精度的石英钟表,每年的误差仅为 3~5秒。1942年,著名的英国格林尼治天文台也开始采用了石英钟作为计时工具。在许多场合,它还经常被列为频率的基本标准,用于日常测量与检测。大约在 1970 年前后,石英钟表开始进入市场,风靡全球。随着科学的进步,精密的电子元件不断涌现,石英钟表也开始变得小巧精致,它既是实用品,也是装饰品。它为人们的生活提供方便,更为人们的生活增添了新的色彩。在现行情况下根据简单实用强的、走时准确进行设计。而实验证明,钟表的振荡部分采用石英晶体作为时基信号源时,走时更精确、调整更方便。钟是一种计时的器具,它的出现开拓了时间计量的新里程。提起时钟大家都很熟悉,它是给我们指明时间的一种计时器,并且我们每天都要用到它。二十世纪八十年代中国的钟表业经历了一场翻天覆地的大转折。其表现在三个方面: 1)从生产机械表转为石英电子表; 2)曾占据中国消费市场四十多年的大型国有企业突然被刚刚冒起的“组业”

使用Quartus进行多功能数字钟设计

EDA设计 使用Quartus II进行多功能数字钟设计 院系:机械工程 专业:车辆工程 姓名:张小辉 学号: 115101000151 指导老师:蒋立平、花汉兵 时间: 2016年5月25日

摘要 本实验是电类综合实验课程作业,需要使用到QuartusⅡ软件,(Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程)。本实验需要完成一个数字钟的设计,进行试验设计和仿真调试,实验目标是实现计时、校时、校分、清零、保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。 关键字:电类综合实验 QuartusⅡ数字钟设计仿真

Abstract This experiment is electric comprehensive experimental course work and need to use the Quartus II software, Quartus II is Altera integrated PLD / FPGA development software, schematic and VHDL, Verilog HDL and AHDL (Altera hardware description language support) etc. a variety of design input form, embedded in its own synthesizer and simulator can complete hardware configuration complete PLD design process from design entry to). The need to complete the design of a digital clock, and debug the design of experiment and simulation, the experimental goal is to achieve timing, school, reset, keep and the whole point timekeeping and other basic functions, and then download to the smartsopc experimental system debugging and validation. Key words: Electric power integrated experiment Quartus II Digital clock design Simulation

小学数学人教2011课标版二年级数学综合实践活动课《制作钟表》.doc

《制作钟表》活动设计 番禺市桥中心小学雷雯 【活动内容】 结合义务教育教科书二年级上册P90~96页认识时间内容,自编课程。【活动背景】 学生在一年级上册和本学期的第七单元都学习了有关钟表的简单知识:(1)知道了钟面上有12个大格(数字)、60个小格、时针和分针,它们可以共同表示出钟面时间。(2)能认、读、写整时、半时和几时几分(5分5分数),知道1时=60分。(3)会运用时间的有关知识解决一些简单的实际问题,形成了初步的推理能力。 基于以上的分析,考虑到低年级孩子喜欢动手制作和爱画画的天性,我在单元学习结束后,自编了这节数学综合实践课。本课通过让孩子经历“初次制作钟表→完善自制钟表→巧用自制钟表”三个实践活动环节,力求让学生自主“发现问题→分析问题→解决问题”,进而有步骤地培养学生的综合实践能力。 【设计理念】 近两年来,“核心素养”一直都是教育界的热门话题。核心素养是以培养“全面发展的人”为核心,分为文化基础、自主发展、社会参与三个方面,综合表现为人文底蕴、科学精神、学会学习、健康生活、责任担当、实践创新六大素养。但一般而言,一节40分钟的常规课,因受到教学内容、教学时间等条件约束,很难一下就把全部素养一次落实。所以这次我根据实际情况,选择在教学过程里渗透培养部分核心素养,力求在本课教学中,培养孩子“自主发展、学会学习、实践创新”三方面的素养。

【活动目标】 1. 回忆钟面要素,经历自主建构钟表的过程。 2. 在实践活动中,巩固认识时间的相关知识。 3. 培养学生的动手能力,培养学生的合作意识。 【活动重难点】 自主建构钟表,经历实践过程。 【教学媒体】 多媒体课件、钟面教具、手工卡纸、彩色笔、蝴蝶扣等。 【活动过程】 一、初次制作钟表 (1)出示一个谜语,请孩子们猜猜看谜底是什 么? (2)请孩子运用一些简单工具,在圆形纸上尝试 制作一个钟表(右图)。 (3)发现问题→分析问题→解决问题。 收集和展示部分孩子的自制钟表,请学生们自己找出不足,分析原因;再请学生们根据存在的问题,献计献策,攻克难点。 学情预设:估计学生在以下几个方面会出现困难:①12个数字的定位。 ②指针的区分。③中心点的固定。…… 【设计意图:充分利用学生的已有知识和经验,让学生经历“尝试制作→分析困惑”的实践活动过程,让学生自己发现问题,自己分析问题,自己解决问题,充分体现学生的主体地位;开放式的活动,也同时培养学生的动手能力,培养学生的合作意识。】

多功能数字钟设计Verilog语言编写

多功能数字钟设计 院系:电光学院 班级:*** 学号: *** 姓名: *** 指导老师:*** 时间:2010.4.20.

摘要:利用QuartusII软件设计一个数字钟,利用模块化的程序设计思想,核心 模块均采用Verilog语言编写(译码显示模块采用原理图设计),软件仿真调试编译成功后,再下载到SmartSOPC实验系统中。经过硬件测试,查找软件设计缺陷,并进一步完善软件,最终设计得到较为满意的多功能数字钟。 关键词:QuartusII; 多功能数字钟; 模块化; Verilog; 可编程; Abstract:Using the QuartusII software design a digital bell with the blocking method.The design takes theory drawing instead of Verilog language.After emluating and debuging successfully,translate and edit the code.Then,download the result to the programmable SmartSOPC system and test it in hardware.Realizing the soul of designing hardware by software. Keywords:QuartusII; digital bell; blocking method; VHDL; programmable; hardware 目录 设计内容简介 (3) 设计要求说明 (3) 方案论证(整体电路设计原理) (3) 各子模块设计理 (5) 分频模块: (5) 计数模块: (7) --校准模块程序实现: (8) --秒计数模块程序实现: (9) --分计数模块程序实现: (10) --时计数模块程序实现: (10) 整点报时模块: (12) 闹钟设定模块: (13) --闹钟调节模块程序实现: (14) --输出信号选择模块程序实现: (14) 彩铃模块: (15) 译码显示模块: (18) 万年历模块: (19) --日计数模块程序设计: (20) --月计数模块程序设计: (23) --年计数模块程序设计: (23) --万年历波形仿真结果: (25) 结论: (26) 实验感想: (26) 附图: (27)

多功能数字钟的设计与实现

多功能数字钟的设计与实现 一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1)24小时制时间显示。 2)可以随时进行时间校对。 3)整点报时。 4)闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1)校时时相应位闪烁。 2)能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到8255的一个端口,通过输出电平的高低来控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、单脉冲产生单元、8253定时计数器、8255并行接口单元、8259中断控制器、LED 显示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图1所示。 图1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电路的设计及实现相对简单。完整系统的硬件连接如图2所示。硬件电路由定时模块、按键模块、数码管显示模块和蜂鸣器模块组成。 微机系统 8253 8255 8259 数码管显示 键盘电路 蜂鸣器电路 单脉冲发生 单脉冲发生单元 键盘电路 PA0 8255 PB0 P Q6 OUT0 8253 GATE0 地址 CS1 译码 CS2 数码管显示模块 IRQ2 8259 I PC BUS 蜂鸣器

多功能数字电子钟设计

数字逻辑课程设计 -多功能数字电子钟

多功能数字钟的设计与仿真 一.设计任务与要求 设计任务: 设计一个多功能数字钟。 要求: 1.有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能。(设计秒脉冲发生器) 2.有整点报时功能。(选:上下午、日期、闹钟等) 3. 用中规模、小规模集成电路及模拟器件实现。 4. 供电方式: 5V直流电源 二.设计目的、方案及原理 1.设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握各芯片的逻辑功能及使用方法。 (3)了解面包板结构及其接线方法。 (4)了解多功能数字钟的组成及工作原理。 (5)熟悉多功能数字钟的设计与制作 2.设计思路 (1)设计数字钟的时、分、秒电路。 (2)设计可预置时间的校时电路。 (3)设计整点报时电路。 3.设计过程 3.1.总体设计方案及其工作原理为: 数字钟原理框图入图1所示,电路一般包括一下几个部分:振荡器、星期、小时、分钟、秒计数器、校时电路、报时电路。数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字

钟,但也可以用555定时器构成。 图1 系统框图 数字钟计时的标准信号应该是频率相当稳定的1HZ秒脉冲,所以要设置标准时间源。数字钟计时周期是24小时,因此必须设置24计数器,秒、分、时由数码管显示。 为使数字钟走时与标准时间一致,校时电路是必不可少的。设计中采用开关控制校时电路“时”“分”“秒”计数器进行校时操作。 3.2.各独立功能部件的设计 (1)分、秒计时器(60进制),时计数器(24进制),星期计数器(7进制) 如下图,图中蓝色线为高电平+5v,绿色为接地线,红色线为时钟脉冲。获得秒脉冲信号后,可根据60秒为一分,60分为一小时,24时为一个计数周期的计数规则,分别确定秒、分、时的计数器。由于秒和分的显示都为60进制,因此他们可有两级十进制计数器组成,其中秒和分的个位为十进数器,十位为六进制计数器,可利用两片74160集成电路来实现。74160和74161具有相同的逻辑符号,引脚图和功能表,各引脚图的功能和用法也相同。所不同的是74160是十进制,而74161是十六进制。于是可以用6片74160构成秒计时器、分计时器、时计时器、星期计时器。

多功能数字时钟设计

指导教师评定成绩: 审定成绩: 重庆邮电学院 自动化学院 计算机控制技术课程设计报告 设计题目:多功能数字时钟设计 单位(二级学院):自动化学院 学生姓名:**** 专业:自动化 班级:08***** 学号:2009******** 指导教师:***** 设计时间:2012 年 6 月 重庆邮电学院自动化学院制

摘要 数字时钟在日常生活中最常见,应用也最广泛。本次数字时钟电路根据设计要求采用AT89C51单片机作为控制核心,采用单片机内部计时器来实现时、分、24小时计时,采用DS18B20来实现温度的测量,采用LED实现时间、温度显示,采用蜂鸣器实现闹铃功能。 文章的核心主要是硬件设计和软件编程两个大的方面。硬件电路设计主要包括中央处理单元电路、时钟电路、温度测量电路、键盘扫描电路、闹铃电路。软件用C语言来实现,主要包括主程序、时间设置子程序、温度测量子程序、键盘扫描子程序、闹铃电路子程序等软件模块。 最终电路实现了显示时间、调整时间、测量并显示温度、闹钟定时及响动等功能,达到了设计的要求和目的。在Protuse软件上进行了仿真和调试通过,并最后焊接出实物实现其所有功能。 关键词:数字时钟;AT89C51;DS18B20;LED;蜂鸣器

目录 摘要............................................... 错误!未定义书签。目录. (3) 一设计题目 (4) 1.1 多功能数字时钟设计 (4) 1.2 设计目的 (4) 1.3 设计要求 (4) 二设计报告正文 (5) 2.1 设计方案总体方向的选择 (5) 2.2 温测芯片及显示部分的选择 (5) 2.2.1 温测芯片的选择 (5) 2.2.2 显示部分的选择 (5) 2.3 核心芯片选择 (5) 2.3.1 AT89C51简介 (5) 2.3.2 DB18B20简介 (6) 2.3.3 DB18B20特性 (6) 2.4 系统硬件设计 (8) 2.4.1 硬件主要设计电路 (8) 2.4.2 温度测量电路设计 (9) 2.4.3 键盘扫描.............................. 错误!未定义书签。 2.4.4 LED显示.............................. 错误!未定义书签。 2.4.5 闹铃电路设计 (11) 2.4.6 复位电路、时钟电路设计 (12) 2.5 系统软件设计 (13) 三系统模拟仿真及实现 (14) 3.1 Proteus仿真............................... 错误!未定义书签。 3.2 实物实现.................................. 错误!未定义书签。四设计总结. (15) 五参考文献 (16) 六附录 (17)

电子日历时钟制作大全

1.电子日历时钟实物图: 2.原理图:

3.元件分布图: 4.电子日历时钟c程序代码: #include <> #include <> #include <> #define uint unsigned int #define uchar unsigned char /*****************************************************************************/ 0c6月11日6月11日5月19日5月19日11月26日11月26日9a8a8c2007年1月1日

8c8a3a3a } /*****************************************************************************/ c=i-a*100-b*10; LCM_WriteDatOrCom(1,c+0x30); //小位1位 LCM_WriteString("℃"); //度C } } /*****************************************************************************/ void welcome(void) { LCM_WriteString("★云南师范大学★★★李明旭★★"); //----------|-------1-------|-------3-------|-------2-------|-------4-------|-----//标尺 } /*****************************************************************************/ code uchar year_code[597]={ 0x04,0xAe,0x53, //1901 0 0x0A,0x57,0x48, //1902 3 0x55,0x26,0xBd, //1903 6 0x0d,0x26,0x50, //1904 9 0x0d,0x95,0x44, //1905 12

电子综合课程设计_多功能数字电子时钟

题目班级学号 多功能数字电子时钟************** ************ ****** 指导时间 ********** 2010 年12月18日瓷学院

电工电子技术课程设计任务书

目录 1、总体方案与原理说明................................... .. (1) 2、单元电路1 ——单片机最小系统 (3) 3、单元电路 2 ——指示灯与数码管显示电 路. . . . . . . . . . . . . . . . . . . . . . 5 4、单元电路 3 ——键盘检测电 路 (7) 5、单元电路4 ——A T 2 4 C 0 2 存储电 路 (9) 6、总体电路原理相关说 明 (11) 7、总体电路原理

图 (13) 8、PCB印制电路板图 (14) 9、元件清 单............................................................. . . . . . . . . . . . . . . . . . . . 1 5 10 、参考文 献 (16) 11、设计心得体 会.............................................................. . . . . . . . . . . . . . . . 1 7 12 、附件: C 源程 序.............................................................. 18

1、总体方案与原理说明 图1:作品总体框图 这是一个具有时间、日期、秒表、闹铃以及断电储存数据功能的多功能数字电子时钟。它主要由以下几部分组成:单片机最小系统;指示灯及数码管显示电路;按键电路;以及AT24C02存储电路。整机的逻辑框图如右图所示: 本时钟的主控芯片是一台AT89S51单片机,AT89S51是一个低功耗,高性能CMOS 啦单片机,片含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATME公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片集成了通用8 位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S51可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S51具有如下特点:40个引脚,4k Bytes Flash片程序存储器,128 bytes 的随机存取数据存储器(RAM,32个外部双向输入/输出(I/O )口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT电路,片时钟振荡器。此外,AT89S51设计和配置了振荡频率可为0Hz并可通过软件设置省电模式。空闲模式下,CPU暂停工作,而RAM定时计数器,串行口,外中断系统可继续工作,掉电模式冻结振荡器而保存RAM勺数据,停止芯片其它功能直至外中断激活或硬件复位。同时该芯片还具有PDIP、TQFP 和PLCC等三种封装形式,以适应不同产品的需求。 整个电路由一台单片机和一些外围电路组成。它的计时采用单片机部的定时器,其晶振频率为11.0592MHz其主要功能都是通过C语言编程来实现的。其显示部分用四位

相关文档
最新文档